US6838125B2 - Method of film deposition using activated precursor gases - Google Patents

Method of film deposition using activated precursor gases Download PDF

Info

Publication number
US6838125B2
US6838125B2 US10/193,574 US19357402A US6838125B2 US 6838125 B2 US6838125 B2 US 6838125B2 US 19357402 A US19357402 A US 19357402A US 6838125 B2 US6838125 B2 US 6838125B2
Authority
US
United States
Prior art keywords
metal
precursor
tantalum
containing precursor
activated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related, expires
Application number
US10/193,574
Other versions
US20040018304A1 (en
Inventor
Hua Chung
Ling Chen
Vincent W. Ku
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/193,574 priority Critical patent/US6838125B2/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, LING, CHUNG, HUA, KU, VINCENT W.
Priority to PCT/US2003/019706 priority patent/WO2004007792A2/en
Publication of US20040018304A1 publication Critical patent/US20040018304A1/en
Application granted granted Critical
Publication of US6838125B2 publication Critical patent/US6838125B2/en
Adjusted expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species

Definitions

  • Embodiments of the present invention generally relate to methods of film deposition and, more particularly to methods of film deposition in which precursor gases are activated prior to cyclical introduction to a substrate.
  • the deposition of thin films may be performed using any number of techniques. For many thin film applications, particularly those involving the formation of sub-micron-sized features for integrated circuits, vapor-phase deposition techniques are the most common. Vapor phase techniques include, for example, physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), and cyclical chemical vapor deposition, such as atomic layer deposition (ALD).
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • ALD atomic layer deposition
  • Cyclical deposition by which monolayers of molecules are adsorbed onto a substrate, is particularly advantageous in forming thin films with a high-degree of step-coverage and film quality, as well as a low number of defects. Cyclical deposition also enables a high degree of control over film composition, thereby enabling the formation of thin films with functionality greater than other deposition methods. In a cyclical deposition process, precursor gases are alternately introduced into a reaction chamber.
  • precursor gases While the precise chemical composition of each precursor gas varies depending upon the desired composition of the thin film, the precursor gases must have a high degree of reactivity. Unfortunately, not all highly reactive precursor gases are practical candidates for ALD because many of these gases cause safety concerns, or are otherwise dangerous to use in an industrial process. Consequently, precursor gases with less than optimal reactivity are often used for cyclical deposition.
  • precursor gases used for cyclical deposition may be large organic molecules that have a plurality of bulky side groups or ligands. The size and number of ligands within the precursor tend to shield the active metal ions to be deposited, thereby slowing the reaction kinetics of deposition. This may result in reduced or sub-optimal coverage of the thin film causing electrical failure of a device created using these deposition techniques.
  • a method for depositing a film on a substrate surface includes providing a metal-containing precursor to an activation zone, activating the metal-containing precursor to form an activated precursor, and alternately adsorbing the activated precursor and a first reducing gas to deposit a film on the substrate surface.
  • the method includes providing a first reducing gas to an activation zone, activating the first reducing gas to form an activated reducing gas, and depositing a film on the substrate using a cyclical deposition process, wherein the activated reducing gas and a metal-containing precursor are alternately adsorbed on the substrate.
  • the method includes providing a metal-containing precursor to an activation zone, activating the metal-containing precursor to form an activated precursor gas, providing a first reducing gas to an activation zone, activating the first reducing gas to form an activated reducing gas, and depositing a film on the substrate using a cyclical deposition process, wherein the activated reducing gas and a metal-containing precursor are alternately adsorbed on the substrate.
  • a method for forming an interconnect feature includes providing a substrate structure having an aperture formed thereon to a reaction chamber, providing a first reducing gas to an activation zone, activating the first reducing gas to form an activated reducing gas, and depositing a barrier layer on the substrate structure using a cyclical deposition process, wherein the activated reducing gas and a metal-containing precursor are alternately adsorbed on the substrate structure.
  • FIG. 1 is a partial cross-section view of a process chamber that can be used for the practice of embodiments described herein;
  • FIG. 2 is a schematic illustration of a gas delivery system that can be used for the practice of embodiments described herein;
  • FIG. 3 illustrates a process flow sequence for film deposition using cyclical deposition techniques according to embodiments described herein;
  • FIG. 4 illustrates a process flow sequence for film deposition using cyclical deposition techniques according to an alternate embodiment described herein;
  • FIG. 5 illustrates a process flow sequence for film deposition using cyclical deposition techniques according to another alternate embodiment described herein.
  • FIGS. 6A-6C depict cross-sectional views of a substrate at different stages of an interconnect fabrication sequence.
  • FIG. 1 depicts a partial cross-section view of an exemplary cyclical process chamber 10 that can be used to perform film deposition in accordance with embodiments described herein.
  • the process chamber 10 generally includes an enclosure/body 90 that houses a support pedestal 48 that is moveable in a vertical direction inside the process chamber 10 using a displacement mechanism 48 a .
  • the process chamber 10 also includes a vacuum pump 18 to evacuate the body 90 and to maintain a pressure within the process chamber 10 .
  • the process chamber 10 further includes a gas delivery system 20 by which process gases are introduced into the body 90 .
  • the substrate 12 can be heated to some desired temperature prior to or during deposition.
  • the substrate 12 may be heated using heat transfer from the support pedestal 48 .
  • the substrate 12 may be heated using radiant heaters such as, for example, lamps.
  • the support pedestal 48 may be resistively heated by applying an electric current from an AC power supply 52 to a heater element 52 a .
  • a temperature sensor 50 a such as a thermocouple, is also embedded in the wafer support pedestal 48 to monitor the temperature of the pedestal 48 in a conventional manner. The measured temperature is used in a feedback loop to control the AC power supply 52 for the heating element 52 a , such that the substrate temperature can be maintained or controlled at a desired temperature which is suitable for the particular process application.
  • the chamber 10 further includes a microprocessor controller 70 to control and regulate gas flow into the body 90 .
  • the microprocessor controller 70 may be one of any form of general purpose computer processor (CPU) that can be used in an industrial setting for controlling various chambers and sub-processors.
  • the computer may use any suitable memory, such as random access memory, read only memory, floppy disk drive, hard disk, or any other form of digital storage, local or remote.
  • Various support circuits may be coupled to the CPU for supporting the processor in a conventional manner.
  • Software routines as required may be stored on the memory or executed by a second CPU that is remotely located.
  • the software routines are executed to initiate process recipes or sequences.
  • the software routines when executed, transform the general purpose computer into a specific process computer that controls the chamber operation so that a chamber process is performed.
  • the software routines may be performed in hardware, as an application specific integrated circuit or other type of hardware implementation, or a combination of software or hardware.
  • FIG. 2 represents a schematic illustration of the gas delivery system 20 .
  • the gas delivery system 20 includes at least one gas inlet conduit 42 for each process gas used during deposition. Three gas inlet conduits 42 are shown for illustrative purposes.
  • a “process gas” as used herein refers to one or more reactants, precursors, reductants, carrier gas, inert gas, purge gas, or any combination thereof.
  • the one or more gas conduits 42 extend into a gas manifold 34 at a first end thereof and are connected to one or more gas sources 56 (three are shown 56 a , 56 b , 56 c ) at a second end thereof.
  • the gas conduits 42 may be heated by an external heater, such as heating tape for example, to maintain a desired temperature of the process gases contained therein.
  • the gas manifold 34 allows the process gases to be introduced and uniformly distributed in the reaction chamber 90 .
  • the gas manifold 34 may be heated to prevent condensation therein.
  • the one or more gas sources 56 each contain a particular process gas used for film deposition.
  • a first gas source 56 a may contain a metal-containing precursor
  • a second gas source 56 b may contain a reductant
  • a third gas source 56 c may contain one or more purge gases.
  • Each gas source 56 a , 56 b , 56 c may also include a heating member 58 , such as a resistive coil heater for example, to maintain a temperature needed for proper storage and/or provide a temperature required by the deposition process.
  • the heating member 58 may also be used to vaporize a liquid precursor to a gas, if needed.
  • the gas delivery system 20 also includes at least one valve 54 or other flow control mechanism to regulate a flow of the process gases from the gas sources 56 to the body 90 .
  • at least one valve 54 is disposed within each gas conduit 42 .
  • the valve 54 may be any high speed actuating valve, and may be pneumatically or electrically actuated, for example. However, the valve 54 should be capable of on/off cycle times as fast as about 1 second or less, such as about 100 milliseconds or less.
  • the gas delivery system 20 further includes one or more activation sources/zones (two are shown 55 a , 55 b ) disposed along a fluid path between the gas sources 56 and the manifold 34 .
  • the residence time of the process gas through each of the activation zones 55 is as fast as the cycle time of the respective dosing valve 54 .
  • the activation sources 55 activate the one or more process gases prior to entering the body 90 by providing catalytic activation, pyrolytic/thermal activation, plasma-discharge activation, or any combination thereof.
  • one or more activation sources 55 may be disposed in series.
  • a separate chamber such as a remote plasma source for example, may be disposed in fluid communication with the gas sources 56 , and one or more of the activation zones 55 a , 55 b .
  • each activation zone 55 a , 55 b is disposed either adjacent the body 90 or directly onto the body 90 . A close proximity to the body 90 is desired to prevent any loss of energy or activation.
  • Each activation zone 55 a , 55 b may include a separate temperature control unit (not shown) to maintain a temperature within the activation zone.
  • Each activation zone 55 a , 55 b may also include an exhaust port (not shown) through which reaction by-products may be removed.
  • An activation zone 55 utilizing catalytic activation may contain one or more metal-containing materials to activate a process gas flowing therethrough.
  • the catalytic materials may include one or more condensed phase materials such as magnesium, zinc, or combinations thereof.
  • the catalytic materials may also include one or more gas phase materials containing one or more metals, such as sodium, potassium, rubidium, cesium, francium, lithium, beryllium, magnesium, calcium, strontium, barium, radium, zinc, and combinations thereof. These gas phase materials may be continuously delivered to the activation zones 55 a , 55 b by a separate gas supply 56 .
  • An activation zone 55 utilizing plasma-discharge activation may contain a microwave power source, radio frequency (RF) power source, or a combination thereof, to generate a plasma for activating the one or more process gases.
  • the plasma may be generated in one or more of the activation zones 55 or alternatively, the plasma may be generated in a separate remote plasma generation chamber 60 and delivered to the activation zone 55 .
  • Each activation zone 55 or the remote plasma generation chamber 60 may be coupled to an energy source (not shown), such as, for example, an RF source or microwave source, to supply the energy needed to excite the process gases into a plasma state.
  • An activation zone 55 a , 55 b utilizing pyrolytic/thermal activation may include one or more sources of radiation or thermal energy to activate the one or process gases.
  • exemplary sources of radiation include infrared radiation and ultraviolet radiation.
  • the source of radiation is a laser disposed within an activation zone 55 .
  • Exemplary sources of thermal energy include a resistive coil heating element and a hot filament.
  • FIG. 3 illustrates an exemplary process sequence 100 for depositing films according using a processing chamber similar to that described above with reference to FIGS. 1-2 .
  • Steps 104 through 111 describe one embodiment of a deposition cycle in which a constant flow of carrier gas is provided to the process chamber modulated by alternating periods of pulsing of either activated precursor gas or activated reducing gas and periods of non-pulsing.
  • the periods of pulsing may alternate between the activated precursor gas and the activated reducing gas along with the carrier gas stream, while the periods of non-pulsing include only the carrier gas stream.
  • the term “pulse” as used herein refers to a dose of material injected into the reaction chamber or into the carrier gas stream.
  • a substrate is disposed within chamber.
  • the substrate may be, for example, a semiconductor substrate, such as a silicon wafer or other substrate on which integrated circuits and other electronic devices are formed.
  • the process chamber conditions such as temperature and pressure, are adjusted to enhance the adsorption of the gases onto the substrate.
  • the chamber pressure and substrate temperature are variable and dependent upon the material to be deposited.
  • a carrier gas stream is established within the reaction chamber as indicated in step 104 .
  • Carrier gases may be selected to also act as a purge gas for removal of volatile reactants and/or by-products from the process chamber.
  • Carrier gases such as, for example, helium (He), argon (Ar), nitrogen (N 2 ) and hydrogen (H 2 ), and combinations thereof, among others may be used.
  • the metal-containing precursor is provided to an activation zone 55 , such as the activation zone 55 a of FIG. 2 .
  • the metal-containing precursor is activated to form an activated metal-containing precursor.
  • the activated precursor is generally more chemically reactive than a non-activated metal precursor.
  • the activation induces changes in the metal-containing precursor including, for example, reducing the oxidation state, reducing the number or density of ligands, or increasing a concentration of metallic species within the precursor.
  • the activation does not involve a complete reduction of the metal-containing precursor to the point at which it will prematurely deposit or condense on a surface prior to contacting the substrate.
  • the time required to activate the metal-containing precursor is variable and dependent upon the composition of the precursor, temperature of the activation zone, and pressure of the activation zone, among other well known considerations.
  • the metal-containing precursor may be transported to an activation zone 55 a where the metal-containing precursor is activated by contacting the metal-containing precursor with a condensed phase catalytic material, such as, for example, a metal filament or other condensed phase catalyst described above.
  • a condensed phase catalytic material such as, for example, a metal filament or other condensed phase catalyst described above.
  • the metal-containing precursor may be activated at a pressure between about 1 Torr and about 10 Torr at a temperature between about 65° C. and about 200° C.
  • the metal-containing precursor may be activated using a gas phase catalytic material.
  • the gas phase material may include, for example, metallic species in gaseous form, as described above.
  • the gas phase catalytic materials contain ammonia gas.
  • the metal-containing precursor may be activated at a pressure between about 1 Torr and about 10 Torr at a temperature between about 65° C. and about 200° C.
  • the metal-containing precursor may be activated by reacting the metal-containing precursor with a gas phase material that has been ignited into a plasma state at conditions commonly known in the art.
  • the plasma may comprise ammonia, hydrogen, nitrogen, or combinations thereof.
  • the plasma may further comprise an inert gas such as argon or helium.
  • the metal-containing precursor may be activated at a pressure between about 1 Torr and about 10 Torr at a temperature between about 65° C. and about 200° C.
  • the metal-containing precursor may be activated by reacting with a gas phase material that has been excited using microwave energy, infrared radiation, or ultraviolet radiation.
  • the metalcontaining precursor is thermally activated using a temperature between about 100° C. and about 350° C. at a pressure between about 1 Torr and about 10 Torr.
  • a pulse of metal-containing precursor that has been activated i.e., a pulse of activated precursor gas
  • the pulse of the metal-containing precursor lasts for a predetermined time interval.
  • the time interval for each pulse of the metal-containing precursor is variable depending on the volume capacity of the process chamber employed as well as the vacuum system coupled thereto.
  • the process conditions are advantageously selected so that a pulse of the metal-containing precursor provides a sufficient amount of precursor so that at least a monolayer of the activated precursor is adsorbed on the substrate. Thereafter, excess metal-containing precursor remaining in the chamber may be removed from the process chamber by the constant carrier gas stream in combination with the vacuum system.
  • a pulse of a first reducing gas is added to the carrier gas stream.
  • the pulse of the first reducing gas also lasts for a predetermined time interval that is variable as described above with reference to the activated precursor.
  • the time interval for the pulse of the first reducing gas should be long enough for adsorption of at least a monolayer of the first reducing gas on the activated precursor gas. Excess reducing gas is also removed therefrom by the constant carrier gas stream in combination with the vacuum system.
  • an optional pulse of a second reducing gas is added to the carrier gas stream, as indicated in step 111 .
  • the second reducing gas generally reacts with any previously unreacted activated precursor that may be exist on the substrate.
  • the pulse of the second reducing gas also lasts for a predetermined time interval that is variable as described above with reference to the precursor, and the pulse should be long enough for adsorption of at least a monolayer of the second reducing gas onto the first reducing gas. Thereafter, excess second reducing gas remaining in the chamber is removed therefrom by the constant carrier gas stream in combination with the vacuum system.
  • steps 104 through 111 after each deposition cycle (steps 104 through 111 ) a thickness of the film will be determined. Depending on specific device requirements, subsequent deposition cycles may be needed to achieve a desired thickness. If so, steps 104 through 111 are repeated until the desired thickness for the film is achieved. Thereafter, when the desired thickness for the film is achieved, the process is stopped as indicated by step 114 .
  • FIG. 4 shows an alternate deposition process sequence 200 .
  • the sequence 200 includes providing a substrate to the reaction chamber (step 202 ), providing a first pulse of a purge gas to the reaction chamber (step 204 ), providing a first pulse of the metal-containing precursor to an activation zone (step 206 ), providing the pulse of activated precursor to the reaction chamber (step 208 ), providing a second pulse of the purge gas to the reaction chamber (step 210 ), providing a first pulse of a first reducing gas to the reaction chamber (step 212 ), providing an optional pulse of a second reducing gas to the reaction chamber (step 213 ) and then repeating steps 204 through 213 or stopping the deposition process (step 214 ) depending on whether a desired thickness for the film has been achieved.
  • the metal-containing precursor may be activated using any of the embodiments described above with reference to FIG. 3 .
  • the time intervals for each of the pulses of the activated precursor gas, the one or more reducing gases and the purge gas may have the same durations as discussed above with respect to FIG. 3 .
  • the time intervals for each of the pulses of the activated precursor gas, the one or more reducing gases and the purge gas may have different durations.
  • FIG. 5 shows yet another alternate process sequence 300 .
  • the deposition sequence 300 includes providing a substrate to the reaction chamber (step 302 ) and exposing the substrate to a purge gas stream (step 304 ).
  • a first reducing gas is provided to an activation zone (step 306 ), such as activation zone 55 b shown in FIG. 2 .
  • the first reducing gas is activated to form an activated reducing gas.
  • the activation induces a change in the first reducing gas that enhances the reactivity of the first reducing gas.
  • the activation may comprise, for example, igniting the first reducing gas into a plasma state.
  • the plasma may be generated using microwave energy of about 1,000 W at 2.56 gHz. In another aspect of this embodiment, the plasma may be generated using RF power of about 1,000 W at 13.56 mHz.
  • the activation may consist of exposing the reducing gas to a source of radiation, such as a source of infrared or ultraviolet radiation.
  • the source of radiation may be, for example, a lamp or a laser.
  • the activation includes heating the reducing gas using a hot filament to a temperature between about 500° C. and about 1,000° C.
  • the film deposition sequence 300 is completed by pulsing the purge gas with the metal-containing precursor (step 308 ), pulsing the purge gas stream with the activated first reducing gas (step 310 ), optionally pulsing the purge gas stream with a second reducing gas (step 311 ), and repeating steps 304 through 311 or stopping the deposition process (step 314 ) depending on whether a desired thickness for the film has been achieved.
  • the film deposition sequences 100 , 200 , 300 are depicted as beginning with a dose or pulse of a metal-containing precursor, followed by a dose or pulse of a reducing gas.
  • the film deposition sequences may start with a dose or pulse of a reducing gas followed by a dose or pulse of a metal-containing precursor.
  • the film deposition sequences are depicted as including steps in which either the metal-containing precursor or the first reducing gas are activated.
  • both the metal-containing precursor and the first reducing gas may be activated.
  • the second reducing gas may be provided to an activation zone and activated in a manner similar to those described for the first reducing gas.
  • the films deposited according to the cyclical deposition techniques described above may include a refractory metal nitride, refractory metal silicide, refractory metal nitrogen silicide, and a refractory metal boride, among other metal compounds.
  • refractory metals include titanium (Ti), tantalum (Ta), tungsten (W), vanadium (V), niobium (Nb), zirconium (Zr), hafnium (Ha), chromium (Cr), and molybdenum (Mo).
  • the film may include tantalum, tantalum nitride, titanium, titanium nitride, and combinations thereof.
  • Exemplary tantalum-containing precursors include Ta(NMe 2 ) 5 (PDMAT), Ta(NEt 2 ) 5 (PDEAT), Ta(NMeEt) 5 (PEMAT), t BuNTa(NEt 2 ) 3 (TBTDET), t BuNTa(NMeEt) 3 (TBTMET), t BuNTa(NMe 2 ) 3 (TBTDMT), tantalum chloride (TaCl 5 ), tantalum bromide (TaBr 5 ), tantalum iodide (Tal 5 ), tantalum hydrides, such as (Cp) 2 TaH 3 or (CpMe) 2 TaH 3 , and combinations thereof.
  • Exemplary titanium-containing precursors for forming titanium layers include TDMAT, TDEAT, titanium chloride (TiCl 4 ), and combinations thereof.
  • Exemplary tungsten-containing precursors include tungsten hexacarbonyl (W(CO) 6 ), tungsten pentacarbonyl compounds (RW(CO) 5 ) and tungsten tetracarbonyl compounds (R 2 W(CO) 4 ) where R is an alkylisonitrile group (R′—N ⁇ C ⁇ ), where R′ is an alkyl group such as n-butyl, 1-ethylpropyl, isopentyl, n-pentyl, n-hexyl, and n-octyl.
  • Me and Et are used for methyl (—CH 3 ) and ethyl (—C 2 H 5 ) groups respectively.
  • Suitable reducing gases may include, for example, ammonia (NH 3 ), hydrazine (N 2 H 4 ), monomethyl hydrazine (CH 3 N 2 H 3 ), dimethyl hydrazine (C 2 H 6 N 2 H 2 ), t-butyl hydrazine (C 4 H 9 N 2 H 3 ), phenyl hydrazine (C 6 H 5 N 2 H 3 ), 2,2′-azoisobutane ((CH 3 ) 6 C 2 N 2 ), ethylazide (C 2 H 5 N 3 ), silane (SiH 4 ), disilane (Si 2 H 6 ), dichlorosilane (SiCl 2 H 2 ), borane (BH 3 ), diborane (B 2 H 6 ), triborane, tetraborane, pentaborane, hexaborane, heptaborane, octaborane, nonaborane and decaborane, among
  • One exemplary process of depositing a film using embodiments described herein involves the deposition of a tantalum nitride film.
  • a substrate is loaded into a reaction chamber.
  • a continuous purge gas such as helium is introduced into the chamber to stabilize the temperature of the substrate between about 20° C. and about 350° C., such as about 250° C. and the pressure of the chamber between about 1 mTorr and about 50 Torr.
  • a reducing gas such as ammonia (NH 3 ) for example, is then pulsed into the reaction chamber via a second activation zone and activated using RF power of about 500 W to about 1,500 W at 13.56 mHz.
  • the ammonia can be activated using thermal decomposition by heating the ammonia to a temperature between about 500° C. and about 1,000° C.
  • the pulses of PDMAT and ammonia are alternately introduced to the substrate surface until a conformal tantalum nitride layer having a thickness of about 10 Angstroms ( ⁇ ) is formed.
  • FIGS. 6A-6C illustrate a partial cross-section view of a substrate at different stages of an interconnect fabrication sequence incorporating a barrier layer formed using a film deposition process consistent with embodiments described herein.
  • FIG. 6A illustrates a cross-section view of a substrate structure 510 , comprising, for example, a substrate 500 having a material layer 502 formed atop substrate 500 .
  • the substrate 500 may comprise a semiconductor material such as, for example, silicon (Si).
  • Material layer 502 may be, for example, an oxide.
  • a via 503 formed in layer 502 exposes portions of substrate 500 .
  • the via 503 is formed using conventional lithography and etching techniques.
  • a barrier layer 504 is formed over material layer 502 .
  • the barrier layer 504 may comprise, a refractory metal, such as, for example, tantalum or titanium.
  • barrier layer comprises a refractory metal nitride, such as tantalum nitride or titanium nitride.
  • barrier layer 504 comprises multiple material layers, such as one or more metal layers and metal nitride layers. The thickness of the barrier layer 504 is typically about 10 ⁇ to about 1000 ⁇ .
  • the interconnect is completed by filling the via 503 with, for example, a metal layer 505 , as shown in FIG. 6 C.
  • the metal layer 504 may be formed using conventional deposition techniques.
  • the metal layer 504 may be deposited using electroplating, chemical vapor deposition (CVD) or physical vapor deposition.
  • Metal layer 504 may comprise, for example, copper or aluminum.

Abstract

A method for depositing a film on a substrate is provided. In one aspect, the method includes providing a metal-containing precursor to an activation zone, and activating the metal-containing precursor to form an activated precursor. The activated precursor gas is transported to a reaction chamber, and a film is deposited on the substrate using a cyclical deposition process, wherein the activated precursor gas and a reducing gas are alternately adsorbed on the substrate. Also provided is a method of depositing a film on a substrate using an activated reducing gas.

Description

BACKGROUND OF THE DISCLOSURE
1. Field of the Invention
Embodiments of the present invention generally relate to methods of film deposition and, more particularly to methods of film deposition in which precursor gases are activated prior to cyclical introduction to a substrate.
2. Description of the Related Art
The deposition of thin films may be performed using any number of techniques. For many thin film applications, particularly those involving the formation of sub-micron-sized features for integrated circuits, vapor-phase deposition techniques are the most common. Vapor phase techniques include, for example, physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), and cyclical chemical vapor deposition, such as atomic layer deposition (ALD).
Cyclical deposition, by which monolayers of molecules are adsorbed onto a substrate, is particularly advantageous in forming thin films with a high-degree of step-coverage and film quality, as well as a low number of defects. Cyclical deposition also enables a high degree of control over film composition, thereby enabling the formation of thin films with functionality greater than other deposition methods. In a cyclical deposition process, precursor gases are alternately introduced into a reaction chamber.
While the precise chemical composition of each precursor gas varies depending upon the desired composition of the thin film, the precursor gases must have a high degree of reactivity. Unfortunately, not all highly reactive precursor gases are practical candidates for ALD because many of these gases cause safety concerns, or are otherwise dangerous to use in an industrial process. Consequently, precursor gases with less than optimal reactivity are often used for cyclical deposition. For example, precursor gases used for cyclical deposition may be large organic molecules that have a plurality of bulky side groups or ligands. The size and number of ligands within the precursor tend to shield the active metal ions to be deposited, thereby slowing the reaction kinetics of deposition. This may result in reduced or sub-optimal coverage of the thin film causing electrical failure of a device created using these deposition techniques.
Therefore, a need exists for a method of film deposition that provides a high degree of precursor reactivity as well as improved step coverage in the film.
SUMMARY OF THE INVENTION
A method for depositing a film on a substrate surface is provided. In one aspect, the method includes providing a metal-containing precursor to an activation zone, activating the metal-containing precursor to form an activated precursor, and alternately adsorbing the activated precursor and a first reducing gas to deposit a film on the substrate surface. In another aspect, the method includes providing a first reducing gas to an activation zone, activating the first reducing gas to form an activated reducing gas, and depositing a film on the substrate using a cyclical deposition process, wherein the activated reducing gas and a metal-containing precursor are alternately adsorbed on the substrate.
In still another aspect, the method includes providing a metal-containing precursor to an activation zone, activating the metal-containing precursor to form an activated precursor gas, providing a first reducing gas to an activation zone, activating the first reducing gas to form an activated reducing gas, and depositing a film on the substrate using a cyclical deposition process, wherein the activated reducing gas and a metal-containing precursor are alternately adsorbed on the substrate.
A method for forming an interconnect feature is also provided. The method includes providing a substrate structure having an aperture formed thereon to a reaction chamber, providing a first reducing gas to an activation zone, activating the first reducing gas to form an activated reducing gas, and depositing a barrier layer on the substrate structure using a cyclical deposition process, wherein the activated reducing gas and a metal-containing precursor are alternately adsorbed on the substrate structure.
BRIEF DESCRIPTION OF THE DRAWINGS
So that the manner in which the above recited features of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
FIG. 1 is a partial cross-section view of a process chamber that can be used for the practice of embodiments described herein;
FIG. 2 is a schematic illustration of a gas delivery system that can be used for the practice of embodiments described herein;
FIG. 3 illustrates a process flow sequence for film deposition using cyclical deposition techniques according to embodiments described herein;
FIG. 4 illustrates a process flow sequence for film deposition using cyclical deposition techniques according to an alternate embodiment described herein;
FIG. 5 illustrates a process flow sequence for film deposition using cyclical deposition techniques according to another alternate embodiment described herein; and
FIGS. 6A-6C depict cross-sectional views of a substrate at different stages of an interconnect fabrication sequence.
DETAILED DESCRIPTION
FIG. 1 depicts a partial cross-section view of an exemplary cyclical process chamber 10 that can be used to perform film deposition in accordance with embodiments described herein. The process chamber 10 generally includes an enclosure/body 90 that houses a support pedestal 48 that is moveable in a vertical direction inside the process chamber 10 using a displacement mechanism 48 a. The process chamber 10 also includes a vacuum pump 18 to evacuate the body 90 and to maintain a pressure within the process chamber 10. The process chamber 10 further includes a gas delivery system 20 by which process gases are introduced into the body 90.
Depending on the specific process, the substrate 12 can be heated to some desired temperature prior to or during deposition. The substrate 12 may be heated using heat transfer from the support pedestal 48. Alternatively, the substrate 12 may be heated using radiant heaters such as, for example, lamps. In one aspect, the support pedestal 48 may be resistively heated by applying an electric current from an AC power supply 52 to a heater element 52 a. A temperature sensor 50 a, such as a thermocouple, is also embedded in the wafer support pedestal 48 to monitor the temperature of the pedestal 48 in a conventional manner. The measured temperature is used in a feedback loop to control the AC power supply 52 for the heating element 52 a, such that the substrate temperature can be maintained or controlled at a desired temperature which is suitable for the particular process application.
The chamber 10 further includes a microprocessor controller 70 to control and regulate gas flow into the body 90. The microprocessor controller 70 may be one of any form of general purpose computer processor (CPU) that can be used in an industrial setting for controlling various chambers and sub-processors. The computer may use any suitable memory, such as random access memory, read only memory, floppy disk drive, hard disk, or any other form of digital storage, local or remote. Various support circuits may be coupled to the CPU for supporting the processor in a conventional manner. Software routines as required may be stored on the memory or executed by a second CPU that is remotely located.
The software routines are executed to initiate process recipes or sequences. The software routines, when executed, transform the general purpose computer into a specific process computer that controls the chamber operation so that a chamber process is performed. Alternatively, the software routines may be performed in hardware, as an application specific integrated circuit or other type of hardware implementation, or a combination of software or hardware.
FIG. 2 represents a schematic illustration of the gas delivery system 20. The gas delivery system 20 includes at least one gas inlet conduit 42 for each process gas used during deposition. Three gas inlet conduits 42 are shown for illustrative purposes. A “process gas” as used herein refers to one or more reactants, precursors, reductants, carrier gas, inert gas, purge gas, or any combination thereof.
The one or more gas conduits 42 extend into a gas manifold 34 at a first end thereof and are connected to one or more gas sources 56 (three are shown 56 a, 56 b, 56 c) at a second end thereof. The gas conduits 42 may be heated by an external heater, such as heating tape for example, to maintain a desired temperature of the process gases contained therein. The gas manifold 34 allows the process gases to be introduced and uniformly distributed in the reaction chamber 90. Optionally, the gas manifold 34 may be heated to prevent condensation therein.
The one or more gas sources 56 each contain a particular process gas used for film deposition. For example, a first gas source 56 a may contain a metal-containing precursor, a second gas source 56 b may contain a reductant, and a third gas source 56 c may contain one or more purge gases. Each gas source 56 a, 56 b, 56 c may also include a heating member 58, such as a resistive coil heater for example, to maintain a temperature needed for proper storage and/or provide a temperature required by the deposition process. The heating member 58 may also be used to vaporize a liquid precursor to a gas, if needed.
The gas delivery system 20 also includes at least one valve 54 or other flow control mechanism to regulate a flow of the process gases from the gas sources 56 to the body 90. In one aspect, at least one valve 54 is disposed within each gas conduit 42. The valve 54 may be any high speed actuating valve, and may be pneumatically or electrically actuated, for example. However, the valve 54 should be capable of on/off cycle times as fast as about 1 second or less, such as about 100 milliseconds or less.
The gas delivery system 20 further includes one or more activation sources/zones (two are shown 55 a, 55 b) disposed along a fluid path between the gas sources 56 and the manifold 34. The residence time of the process gas through each of the activation zones 55 is as fast as the cycle time of the respective dosing valve 54. The activation sources 55 activate the one or more process gases prior to entering the body 90 by providing catalytic activation, pyrolytic/thermal activation, plasma-discharge activation, or any combination thereof. When more than one type of activation is desired, one or more activation sources 55 may be disposed in series. Alternatively, a separate chamber (not shown), such as a remote plasma source for example, may be disposed in fluid communication with the gas sources 56, and one or more of the activation zones 55 a, 55 b. Preferably, each activation zone 55 a, 55 b is disposed either adjacent the body 90 or directly onto the body 90. A close proximity to the body 90 is desired to prevent any loss of energy or activation. Each activation zone 55 a, 55 b may include a separate temperature control unit (not shown) to maintain a temperature within the activation zone. Each activation zone 55 a, 55 b may also include an exhaust port (not shown) through which reaction by-products may be removed.
An activation zone 55 utilizing catalytic activation may contain one or more metal-containing materials to activate a process gas flowing therethrough. For example, the catalytic materials may include one or more condensed phase materials such as magnesium, zinc, or combinations thereof. The catalytic materials may also include one or more gas phase materials containing one or more metals, such as sodium, potassium, rubidium, cesium, francium, lithium, beryllium, magnesium, calcium, strontium, barium, radium, zinc, and combinations thereof. These gas phase materials may be continuously delivered to the activation zones 55 a, 55 b by a separate gas supply 56.
An activation zone 55 utilizing plasma-discharge activation may contain a microwave power source, radio frequency (RF) power source, or a combination thereof, to generate a plasma for activating the one or more process gases. As mentioned above, the plasma may be generated in one or more of the activation zones 55 or alternatively, the plasma may be generated in a separate remote plasma generation chamber 60 and delivered to the activation zone 55. Each activation zone 55 or the remote plasma generation chamber 60 may be coupled to an energy source (not shown), such as, for example, an RF source or microwave source, to supply the energy needed to excite the process gases into a plasma state.
An activation zone 55 a, 55 b utilizing pyrolytic/thermal activation may include one or more sources of radiation or thermal energy to activate the one or process gases. Exemplary sources of radiation include infrared radiation and ultraviolet radiation. In one particular aspect, the source of radiation is a laser disposed within an activation zone 55. Exemplary sources of thermal energy include a resistive coil heating element and a hot filament.
Film Deposition
FIG. 3 illustrates an exemplary process sequence 100 for depositing films according using a processing chamber similar to that described above with reference to FIGS. 1-2. Steps 104 through 111 describe one embodiment of a deposition cycle in which a constant flow of carrier gas is provided to the process chamber modulated by alternating periods of pulsing of either activated precursor gas or activated reducing gas and periods of non-pulsing. The periods of pulsing may alternate between the activated precursor gas and the activated reducing gas along with the carrier gas stream, while the periods of non-pulsing include only the carrier gas stream. The term “pulse” as used herein refers to a dose of material injected into the reaction chamber or into the carrier gas stream.
As shown in step 102, a substrate is disposed within chamber. The substrate may be, for example, a semiconductor substrate, such as a silicon wafer or other substrate on which integrated circuits and other electronic devices are formed. The process chamber conditions, such as temperature and pressure, are adjusted to enhance the adsorption of the gases onto the substrate. In general, the chamber pressure and substrate temperature are variable and dependent upon the material to be deposited.
In one embodiment where a constant carrier gas flow is desired, a carrier gas stream is established within the reaction chamber as indicated in step 104. Carrier gases may be selected to also act as a purge gas for removal of volatile reactants and/or by-products from the process chamber. Carrier gases such as, for example, helium (He), argon (Ar), nitrogen (N2) and hydrogen (H2), and combinations thereof, among others may be used.
As shown in step 106, the metal-containing precursor is provided to an activation zone 55, such as the activation zone 55 a of FIG. 2. The metal-containing precursor is activated to form an activated metal-containing precursor. The activated precursor is generally more chemically reactive than a non-activated metal precursor. The activation induces changes in the metal-containing precursor including, for example, reducing the oxidation state, reducing the number or density of ligands, or increasing a concentration of metallic species within the precursor. However, the activation does not involve a complete reduction of the metal-containing precursor to the point at which it will prematurely deposit or condense on a surface prior to contacting the substrate. The time required to activate the metal-containing precursor is variable and dependent upon the composition of the precursor, temperature of the activation zone, and pressure of the activation zone, among other well known considerations.
In one aspect, the metal-containing precursor may be transported to an activation zone 55 a where the metal-containing precursor is activated by contacting the metal-containing precursor with a condensed phase catalytic material, such as, for example, a metal filament or other condensed phase catalyst described above. The metal-containing precursor may be activated at a pressure between about 1 Torr and about 10 Torr at a temperature between about 65° C. and about 200° C.
In another aspect, the metal-containing precursor may be activated using a gas phase catalytic material. The gas phase material may include, for example, metallic species in gaseous form, as described above. Preferably, the gas phase catalytic materials contain ammonia gas. The metal-containing precursor may be activated at a pressure between about 1 Torr and about 10 Torr at a temperature between about 65° C. and about 200° C.
In still another aspect, the metal-containing precursor may be activated by reacting the metal-containing precursor with a gas phase material that has been ignited into a plasma state at conditions commonly known in the art. The plasma may comprise ammonia, hydrogen, nitrogen, or combinations thereof. The plasma may further comprise an inert gas such as argon or helium. The metal-containing precursor may be activated at a pressure between about 1 Torr and about 10 Torr at a temperature between about 65° C. and about 200° C.
In yet another embodiment, the metal-containing precursor may be activated by reacting with a gas phase material that has been excited using microwave energy, infrared radiation, or ultraviolet radiation. In still yet another aspect, the metalcontaining precursor is thermally activated using a temperature between about 100° C. and about 350° C. at a pressure between about 1 Torr and about 10 Torr.
Referring to step 108, after the carrier gas stream is established within the process chamber, a pulse of metal-containing precursor that has been activated (i.e., a pulse of activated precursor gas) is added to the carrier gas stream. The pulse of the metal-containing precursor lasts for a predetermined time interval.
The time interval for each pulse of the metal-containing precursor is variable depending on the volume capacity of the process chamber employed as well as the vacuum system coupled thereto. In general, the process conditions are advantageously selected so that a pulse of the metal-containing precursor provides a sufficient amount of precursor so that at least a monolayer of the activated precursor is adsorbed on the substrate. Thereafter, excess metal-containing precursor remaining in the chamber may be removed from the process chamber by the constant carrier gas stream in combination with the vacuum system.
In step 110, after the excess of the activated precursor has been removed from the reaction chamber by the constant carrier gas stream, a pulse of a first reducing gas is added to the carrier gas stream. The pulse of the first reducing gas also lasts for a predetermined time interval that is variable as described above with reference to the activated precursor. In general, the time interval for the pulse of the first reducing gas should be long enough for adsorption of at least a monolayer of the first reducing gas on the activated precursor gas. Excess reducing gas is also removed therefrom by the constant carrier gas stream in combination with the vacuum system.
In one embodiment, an optional pulse of a second reducing gas is added to the carrier gas stream, as indicated in step 111. The second reducing gas generally reacts with any previously unreacted activated precursor that may be exist on the substrate. The pulse of the second reducing gas also lasts for a predetermined time interval that is variable as described above with reference to the precursor, and the pulse should be long enough for adsorption of at least a monolayer of the second reducing gas onto the first reducing gas. Thereafter, excess second reducing gas remaining in the chamber is removed therefrom by the constant carrier gas stream in combination with the vacuum system.
Referring to step 112, after each deposition cycle (steps 104 through 111) a thickness of the film will be determined. Depending on specific device requirements, subsequent deposition cycles may be needed to achieve a desired thickness. If so, steps 104 through 111 are repeated until the desired thickness for the film is achieved. Thereafter, when the desired thickness for the film is achieved, the process is stopped as indicated by step 114.
FIG. 4 shows an alternate deposition process sequence 200. The sequence 200 includes providing a substrate to the reaction chamber (step 202), providing a first pulse of a purge gas to the reaction chamber (step 204), providing a first pulse of the metal-containing precursor to an activation zone (step 206), providing the pulse of activated precursor to the reaction chamber (step 208), providing a second pulse of the purge gas to the reaction chamber (step 210), providing a first pulse of a first reducing gas to the reaction chamber (step 212), providing an optional pulse of a second reducing gas to the reaction chamber (step 213) and then repeating steps 204 through 213 or stopping the deposition process (step 214) depending on whether a desired thickness for the film has been achieved. The metal-containing precursor may be activated using any of the embodiments described above with reference to FIG. 3.
The time intervals for each of the pulses of the activated precursor gas, the one or more reducing gases and the purge gas may have the same durations as discussed above with respect to FIG. 3. Alternatively, the time intervals for each of the pulses of the activated precursor gas, the one or more reducing gases and the purge gas may have different durations.
FIG. 5 shows yet another alternate process sequence 300. The deposition sequence 300 includes providing a substrate to the reaction chamber (step 302) and exposing the substrate to a purge gas stream (step 304). A first reducing gas is provided to an activation zone (step 306), such as activation zone 55 b shown in FIG. 2. The first reducing gas is activated to form an activated reducing gas. In general, the activation induces a change in the first reducing gas that enhances the reactivity of the first reducing gas.
In one embodiment, the activation may comprise, for example, igniting the first reducing gas into a plasma state. In one aspect of this embodiment, the plasma may be generated using microwave energy of about 1,000 W at 2.56 gHz. In another aspect of this embodiment, the plasma may be generated using RF power of about 1,000 W at 13.56 mHz.
In another embodiment, the activation may consist of exposing the reducing gas to a source of radiation, such as a source of infrared or ultraviolet radiation. The source of radiation may be, for example, a lamp or a laser. In still yet another embodiment, the activation includes heating the reducing gas using a hot filament to a temperature between about 500° C. and about 1,000° C.
The film deposition sequence 300 is completed by pulsing the purge gas with the metal-containing precursor (step 308), pulsing the purge gas stream with the activated first reducing gas (step 310), optionally pulsing the purge gas stream with a second reducing gas (step 311), and repeating steps 304 through 311 or stopping the deposition process (step 314) depending on whether a desired thickness for the film has been achieved.
In FIGS. 3-5, the film deposition sequences 100, 200, 300 are depicted as beginning with a dose or pulse of a metal-containing precursor, followed by a dose or pulse of a reducing gas. Alternatively, the film deposition sequences may start with a dose or pulse of a reducing gas followed by a dose or pulse of a metal-containing precursor. Furthermore, in FIGS. 3-5, the film deposition sequences are depicted as including steps in which either the metal-containing precursor or the first reducing gas are activated. Alternatively, both the metal-containing precursor and the first reducing gas may be activated. In addition, for any of the film deposition sequences 100, 200, 300, the second reducing gas may be provided to an activation zone and activated in a manner similar to those described for the first reducing gas.
The films deposited according to the cyclical deposition techniques described above may include a refractory metal nitride, refractory metal silicide, refractory metal nitrogen silicide, and a refractory metal boride, among other metal compounds. Such refractory metals include titanium (Ti), tantalum (Ta), tungsten (W), vanadium (V), niobium (Nb), zirconium (Zr), hafnium (Ha), chromium (Cr), and molybdenum (Mo).
More particularly, the film may include tantalum, tantalum nitride, titanium, titanium nitride, and combinations thereof. Exemplary tantalum-containing precursors include Ta(NMe2)5 (PDMAT), Ta(NEt2)5 (PDEAT), Ta(NMeEt)5 (PEMAT), tBuNTa(NEt2)3 (TBTDET), tBuNTa(NMeEt)3 (TBTMET), tBuNTa(NMe2)3 (TBTDMT), tantalum chloride (TaCl5), tantalum bromide (TaBr5), tantalum iodide (Tal5), tantalum hydrides, such as (Cp)2TaH3 or (CpMe)2TaH3, and combinations thereof. Exemplary titanium-containing precursors for forming titanium layers include TDMAT, TDEAT, titanium chloride (TiCl4), and combinations thereof. Exemplary tungsten-containing precursors include tungsten hexacarbonyl (W(CO)6), tungsten pentacarbonyl compounds (RW(CO)5) and tungsten tetracarbonyl compounds (R2W(CO)4) where R is an alkylisonitrile group (R′—N═C═), where R′ is an alkyl group such as n-butyl, 1-ethylpropyl, isopentyl, n-pentyl, n-hexyl, and n-octyl. In the above chemical formulas, the abbreviations Me and Et are used for methyl (—CH3) and ethyl (—C2H5) groups respectively.
Suitable reducing gases may include, for example, ammonia (NH3), hydrazine (N2H4), monomethyl hydrazine (CH3N2H3), dimethyl hydrazine (C2H6N2H2), t-butyl hydrazine (C4H9N2H3), phenyl hydrazine (C6H5N2H3), 2,2′-azoisobutane ((CH3)6C2N2), ethylazide (C2H5N3), silane (SiH4), disilane (Si2H6), dichlorosilane (SiCl2H2), borane (BH3), diborane (B2H6), triborane, tetraborane, pentaborane, hexaborane, heptaborane, octaborane, nonaborane and decaborane, among others. Combinations of these and other reducing gases may be used to promote optimal activation of the metal-containing precursor adsorbed on the substrate.
One exemplary process of depositing a film using embodiments described herein involves the deposition of a tantalum nitride film. To deposit the tantalum nitride film, a substrate is loaded into a reaction chamber. A continuous purge gas, such as helium is introduced into the chamber to stabilize the temperature of the substrate between about 20° C. and about 350° C., such as about 250° C. and the pressure of the chamber between about 1 mTorr and about 50 Torr. A tantalum-containing precursor, such as PDMAT for example, is pulsed into the reaction chamber via a first activation zone where the PDMAT is thermally activated at a temperature between about 70° C. and about 200° C., such as between about 100° C. and about 120° C., at a pressure between about 1 Torr and about 50 Torr, preferably 1 Torr to 10 Torr. A reducing gas, such as ammonia (NH3) for example, is then pulsed into the reaction chamber via a second activation zone and activated using RF power of about 500 W to about 1,500 W at 13.56 mHz. Alternatively, the ammonia can be activated using thermal decomposition by heating the ammonia to a temperature between about 500° C. and about 1,000° C. The pulses of PDMAT and ammonia are alternately introduced to the substrate surface until a conformal tantalum nitride layer having a thickness of about 10 Angstroms (Å) is formed.
Integrated Circuit Fabrication Processes
FIGS. 6A-6C illustrate a partial cross-section view of a substrate at different stages of an interconnect fabrication sequence incorporating a barrier layer formed using a film deposition process consistent with embodiments described herein. FIG. 6A, for example, illustrates a cross-section view of a substrate structure 510, comprising, for example, a substrate 500 having a material layer 502 formed atop substrate 500. The substrate 500 may comprise a semiconductor material such as, for example, silicon (Si). Material layer 502 may be, for example, an oxide. A via 503 formed in layer 502 exposes portions of substrate 500. The via 503 is formed using conventional lithography and etching techniques.
Referring to FIG. 6B, a barrier layer 504 is formed over material layer 502. The barrier layer 504 may comprise, a refractory metal, such as, for example, tantalum or titanium. In one embodiment, barrier layer comprises a refractory metal nitride, such as tantalum nitride or titanium nitride. In one embodiment, barrier layer 504 comprises multiple material layers, such as one or more metal layers and metal nitride layers. The thickness of the barrier layer 504 is typically about 10 Å to about 1000 Å.
After the barrier layer 504 is formed, the interconnect is completed by filling the via 503 with, for example, a metal layer 505, as shown in FIG. 6C. The metal layer 504 may be formed using conventional deposition techniques. For example, the metal layer 504 may be deposited using electroplating, chemical vapor deposition (CVD) or physical vapor deposition. Metal layer 504 may comprise, for example, copper or aluminum.
While the foregoing is directed to the preferred embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (24)

1. A method for depositing a film on a substrate surface, comprising:
providing a metal-containing precursor to an activation zone;
activating the metal-containing precursor to form an activated metal precursor, wherein activating the metal-containing precursor comprises reacting the metal-containing precursor with a gas phase material containing one or more metallic species selected from the group consisting of sodium, potassium, rubidium, cesium, francium, lithium, beryllium, magnesium, calcium, strontium, barium, radium, and combinations thereof; and
alternately adsorbing the activated metal precursor and a first reducing gas to deposit the film on the substrate surface.
2. The method of claim 1, wherein the gas phase material further comprises ammonia.
3. The method of claim 2, wherein the film comprises a material selected from the group consisting of tantalum, tantalum nitride, titanium, titanium nitride, tungsten, tungsten nitride, and combinations thereof.
4. The method of claim 3, wherein the metal-containing precursor comprises a material selected from the group consisting of PDMAT, PDEAT, PEMAT, TBTDET, TBTMET, TBTDMT, tantalum chloride, tantalum bromide, tantalum iodide, (Cp)2TaH3, (CpMe)2TaH3, TDMAT, TDEAT, titanium chloride, and combinations thereof.
5. The method of claim 1, wherein activating the metal-containing precursor further comprises reacting the metal-containing precursor with a plasma of hydrogen radicals, nitrogen radicals, or hydrogen and nitrogen radicals.
6. The method of claim 1, wherein activating the metal-containing precursor further comprises exciting the gas phase material with a radiation source and reacting the gas phase material with the metal-containing precursor.
7. The method of claims 1, wherein the first reducing gas is selected from the group consisting of ammonia, hydrogen, hydrazine, monomethyl hydrazine, dimethyl hydrazine, t-butyl hydrazine, phenyl hydrazine, 2,2′-azoisobutane, ethylazide, silane, disilane, dichlorosilane, borane, diborane, triborane, tetraborane, pentaborane, and combinations thereof.
8. The method of claim 7, wherein a cyclical deposition process comprises a plurality of cycles, wherein each cycle comprises establishing a flow of an inert gas to a process chamber and modulating the flow of the inert gas with an alternating period of exposure to one of either the activated metal precursor or the first reducing gas.
9. A method for forming a film on a substrate, comprising:
providing a metal-containing precursor to an activation zone;
activating the metal-containing precursor to form an activated precursor gas, wherein activating the metal-containing precursor comprises reacting the metal-containing precursor with a gas phase material containing one or more metallic species selected from the group consisting of sodium, potassium, rubidium, cesium, francium, lithium, beryllium, magnesium, calcium, strontium, barium, radium, and combinations thereof;
providing a first reducing gas to the activation zone;
activating the first reducing gas to form an activated reducing gas; and
depositing the film on the substrate using a cyclical deposition process, wherein the activated reducing gas and the active precursor gas are alternately adsorbed on the substrate.
10. The method of claim 9, wherein the gas phase material further comprises ammonia.
11. The method of claim 10, wherein the film comprises a material selected from the group consisting of tantalum, tantalum nitride, titanium, titanium nitride, and combinations thereof.
12. The method of claim 11, wherein the metal-containing precursor comprises a material selected from the group consisting of PDMAT, PDEAT, PEMAT, TBTDET, TBTMET, TBTDMT, tantalum chloride, tantalum bromide, tantalum iodide, (Cp)2TaH3, (CpMe)2TaH3, TDMAT, TDEAT, titanium chloride, and combinations thereof.
13. The method of claim 12, wherein the first reducing gas is selected from the group consisting of ammonia, hydrogen, hydrazine, monomethyl hydrazine, dimethyl hydrazine, t-butyl hydrazine, phenyl hydrazine, 2,2′-azoisobutane, ethylazide, silane, disilane, dichlorosilane, borane, diborane, triborane, tetraborane, pentaborane, and combinations thereof.
14. The method of claim 9, wherein activating the metal-containing precursor further comprises igniting the gas phase material into a plasma state and reacting the gas phase material with the metal precursor gas.
15. The method of claim 9, wherein activating the metal-containing precursor further comprises exciting the gas phase material with a radiation source and reacting the gas phase material with the metal-containing precursor.
16. A method for depositing a film on a substrate surface, comprising:
providing a tantalum-containing precursor to an activation zone;
activating the tantalum-containing precursor to form an activated tantalum-precursor by reacting the tantalum-containing precursor with a material containing one or more elements selected from the group consisting of sodium, potassium, rubidium, cesium, francium, lithium, beryllium, magnesium, calcium, strontium, barium, radium, and combinations thereof; and
alternately pulsing the activated tantalum-precursor and a reductant to deposit the film.
17. The method of claim 16, wherein the tantalum-containing precursor is PDMAT.
18. The method of claim 17, wherein the reductant is ammonia.
19. A method for depositing a film on a substrate surface, comprising:
providing PDMAT to an activation zone;
activating the PDMAT to form an activated tantalum-precursor by reacting the PDMAT with a material containing one or more elements selected from the group consisting of sodium, potassium, rubidium, cesium, francium, lithium, beryllium, magnesium, calcium, strontium, barium, radium, and combinations thereof; and
alternately pulsing the activated tantalum-precursor and ammonia to deposit the film.
20. A method for depositing a film on a substrate surface, comprising:
providing a metal-containing precursor to an activation zone;
activating the metal-containing precursor to form an activated metal-precursor by reacting the metal-containing precursor with a material containing one or more elements selected from the group consisting of sodium, potassium, rubidium, cesium, francium, lithium, beryllium, magnesium, calcium, strontium, barium, radium, and combinations thereof; and
sequentially exposing the substrate surface to pulses of the activated metal-precursor and a reductant to deposit the film.
21. The method of claim 20, wherein the film comprises a material selected from the group consisting of tantalum, tantalum nitride, titanium, titanium nitride, tungsten, tungsten nitride, and combinations thereof.
22. The method of claim 21, wherein the metal-containing precursor comprises a material selected from the group consisting of PDMAT, PDEAT, PEMAT, TBTDET, TBTMET, TBTDMT, tantalum chloride, tantalum bromide, tantalum iodide, (Cp)2TaH3, (CpMe)2TaH3, TDMAT, TDEAT, titanium chloride, and combinations thereof.
23. The method of claim 22, wherein the reductant is selected from the group consisting of ammonia, hydrogen, hydrazine, methylhydrazine, dimethylhydrazine, tertbutylhydrazine, phenylhydrazine, 2,2′-azoisobutane, ethylazide, silane, disilane, dichlorosilane, borane, diborane, triborane, tetraborane, pentaborane, and combinations thereof.
24. The method of claim 23, wherein the metal-containing precursor is PDMAT and the reductant is ammonia.
US10/193,574 2002-07-10 2002-07-10 Method of film deposition using activated precursor gases Expired - Fee Related US6838125B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/193,574 US6838125B2 (en) 2002-07-10 2002-07-10 Method of film deposition using activated precursor gases
PCT/US2003/019706 WO2004007792A2 (en) 2002-07-10 2003-06-23 Method of film deposition using activated precursor gases

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/193,574 US6838125B2 (en) 2002-07-10 2002-07-10 Method of film deposition using activated precursor gases

Publications (2)

Publication Number Publication Date
US20040018304A1 US20040018304A1 (en) 2004-01-29
US6838125B2 true US6838125B2 (en) 2005-01-04

Family

ID=30114565

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/193,574 Expired - Fee Related US6838125B2 (en) 2002-07-10 2002-07-10 Method of film deposition using activated precursor gases

Country Status (2)

Country Link
US (1) US6838125B2 (en)
WO (1) WO2004007792A2 (en)

Cited By (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030176061A1 (en) * 2002-03-13 2003-09-18 Doan Trung Tri Apparatuses For Treating Pluralities of Discrete Semiconductor Substrates
US20030224600A1 (en) * 2002-03-04 2003-12-04 Wei Cao Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20040086637A1 (en) * 2002-11-05 2004-05-06 Envichem Co., Ltd. & Pohang University Of Science & Technology Method of coating catalyst carrier layer of metal-metal oxide, method of depositing active catalyst particles onto metal substrates for preparing metal monolith catalyst modules, and module thereby
US20040127027A1 (en) * 2002-12-30 2004-07-01 Yoon-Jik Lee Method for forming titanium silicide contact of semiconductor device
US20050009325A1 (en) * 2003-06-18 2005-01-13 Hua Chung Atomic layer deposition of barrier materials
US20050106865A1 (en) * 2001-09-26 2005-05-19 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20050118804A1 (en) * 2000-06-27 2005-06-02 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US20050136657A1 (en) * 2002-07-12 2005-06-23 Tokyo Electron Limited Film-formation method for semiconductor process
US20050173068A1 (en) * 2001-10-26 2005-08-11 Ling Chen Gas delivery apparatus and method for atomic layer deposition
US20050191866A1 (en) * 2004-02-27 2005-09-01 Powell Don C. Semiconductor devices and methods for depositing a dielectric film
US20050217582A1 (en) * 2001-03-19 2005-10-06 Apex Co., Ltd. Chemical vapor deposition method
US6964936B1 (en) * 2003-03-06 2005-11-15 Sandia Corporation Method of making maximally dispersed heterogeneous catalysts
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060003581A1 (en) * 2004-06-30 2006-01-05 Johnston Steven W Atomic layer deposited tantalum containing adhesion layer
US20060019495A1 (en) * 2004-07-20 2006-01-26 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor taimata
US20060030148A1 (en) * 2001-02-02 2006-02-09 Applied Materials, Inc. Formation of a tantalum-nitride layer
US20060089007A1 (en) * 1998-10-01 2006-04-27 Applied Materials, Inc. In situ deposition of a low K dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US20060216418A1 (en) * 2005-03-28 2006-09-28 Tokyo Electron Limited Formation of silicon nitride film
US20060216928A1 (en) * 2001-12-07 2006-09-28 Hua Chung Cyclical deposition of refractory metal silicon nitride
US20060231017A1 (en) * 2002-04-25 2006-10-19 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
US20060257295A1 (en) * 2002-07-17 2006-11-16 Ling Chen Apparatus and method for generating a chemical precursor
US20060263523A1 (en) * 2003-08-18 2006-11-23 Kraus Brenda D Atomic layer deposition methods of forming conductive metal nitride-comprising layers
US20060292864A1 (en) * 2002-01-26 2006-12-28 Yang Michael X Plasma-enhanced cyclic layer deposition process for barrier layers
US20070020924A1 (en) * 2002-02-26 2007-01-25 Shulin Wang Tungsten nitride atomic layer deposition processes
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
US7220673B2 (en) 2000-06-28 2007-05-22 Applied Materials, Inc. Method for depositing tungsten-containing layers by vapor deposition techniques
US20070119371A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20070151514A1 (en) * 2002-11-14 2007-07-05 Ling Chen Apparatus and method for hybrid chemical processing
US20070202254A1 (en) * 2001-07-25 2007-08-30 Seshadri Ganguli Process for forming cobalt-containing materials
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US20070259110A1 (en) * 2006-05-05 2007-11-08 Applied Materials, Inc. Plasma, uv and ion/neutral assisted ald or cvd in a batch tool
US20070283886A1 (en) * 2001-09-26 2007-12-13 Hua Chung Apparatus for integration of barrier layer and seed layer
US20080003425A1 (en) * 2006-06-29 2008-01-03 Spencer James T Systems and Methods of the Formation of Solid State Metal Boride and Oxide Coatings
US20080044595A1 (en) * 2005-07-19 2008-02-21 Randhir Thakur Method for semiconductor processing
US20080085611A1 (en) * 2006-10-09 2008-04-10 Amit Khandelwal Deposition and densification process for titanium nitride barrier layers
US20080135914A1 (en) * 2006-06-30 2008-06-12 Krishna Nety M Nanocrystal formation
US20080171445A1 (en) * 2007-01-11 2008-07-17 Chen-Hua Yu Novel chemical vapor deposition process
US20080182410A1 (en) * 2007-01-26 2008-07-31 Asm America, Inc. Passivated stoichiometric metal nitride films
US20080182411A1 (en) * 2007-01-26 2008-07-31 Asm America, Inc. Plasma-enhanced ald of tantalum nitride films
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US20080241382A1 (en) * 2007-03-30 2008-10-02 Tkyo Electron Limited Strained metal nitride films and method of forming
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US20080268636A1 (en) * 2001-07-25 2008-10-30 Ki Hwan Yoon Deposition methods for barrier and tungsten materials
US20080280438A1 (en) * 2000-06-28 2008-11-13 Ken Kaung Lai Methods for depositing tungsten layers employing atomic layer deposition techniques
US20090053426A1 (en) * 2001-07-25 2009-02-26 Jiang Lu Cobalt deposition on barrier surfaces
US20090078916A1 (en) * 2007-09-25 2009-03-26 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US20090081868A1 (en) * 2007-09-25 2009-03-26 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US20090087585A1 (en) * 2007-09-28 2009-04-02 Wei Ti Lee Deposition processes for titanium nitride barrier and aluminum
US20090098290A1 (en) * 2004-09-27 2009-04-16 Mikio Watanabe Process for formation of copper-containing films
US20090156004A1 (en) * 2000-06-28 2009-06-18 Moris Kori Method for forming tungsten materials during vapor deposition processes
US20090315093A1 (en) * 2008-04-16 2009-12-24 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US20100003406A1 (en) * 2008-07-03 2010-01-07 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US20100062614A1 (en) * 2008-09-08 2010-03-11 Ma Paul F In-situ chamber treatment and deposition process
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US20100112215A1 (en) * 2008-10-31 2010-05-06 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US7749815B2 (en) 2001-07-16 2010-07-06 Applied Materials, Inc. Methods for depositing tungsten after surface treatment
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
WO2010092482A2 (en) 2009-02-11 2010-08-19 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapor deposition
US7867914B2 (en) 2002-04-16 2011-01-11 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20110086509A1 (en) * 2001-07-25 2011-04-14 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20110266638A1 (en) * 2010-04-30 2011-11-03 Globalfoundries Inc. Semiconductor Device Comprising Contact Elements and Metal Silicide Regions Formed in a Common Process Sequence
US8143147B1 (en) 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
CN107578983A (en) * 2013-03-15 2018-01-12 应用材料公司 Deposition and the apparatus and method of etching are excited for pulsed light
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US11028480B2 (en) 2018-03-19 2021-06-08 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
US11542597B2 (en) 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
US11694912B2 (en) 2017-08-18 2023-07-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11732353B2 (en) 2019-04-26 2023-08-22 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components

Families Citing this family (413)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118158B (en) * 1999-10-15 2007-07-31 Asm Int Process for modifying the starting chemical in an ALD process
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
JP2005518088A (en) * 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド Formation of tungsten composite film
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
KR100985363B1 (en) * 2002-07-15 2010-10-04 가부시키가이샤 히다치 고쿠사이 덴키 Method for manufacturing semiconductor device and substrate processing apparatus
US7022605B2 (en) * 2002-11-12 2006-04-04 Micron Technology, Inc. Atomic layer deposition methods
US7097782B2 (en) 2002-11-12 2006-08-29 Micron Technology, Inc. Method of exposing a substrate to a surface microwave plasma, etching method, deposition method, surface microwave plasma generating apparatus, semiconductor substrate etching apparatus, semiconductor substrate deposition apparatus, and microwave plasma generating antenna assembly
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
DE10320597A1 (en) * 2003-04-30 2004-12-02 Aixtron Ag Method and device for depositing semiconductor layers with two process gases, one of which is preconditioned
JP4651955B2 (en) * 2004-03-03 2011-03-16 東京エレクトロン株式会社 Deposition method
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
DE102004040797A1 (en) * 2004-08-23 2005-10-20 Infineon Technologies Ag Forming layers on a substrate, comprises placing the substrate in a reactor, precipitating a precursor layer, flushing with a gas, and precipitating a second precursor layer
KR100714269B1 (en) * 2004-10-14 2007-05-02 삼성전자주식회사 Method for forming metal layer used the manufacturing semiconductor device
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060175664A1 (en) * 2005-02-07 2006-08-10 Micron Technology, Inc. Semiconductor constructions, and methods of forming metal silicides
TW200907090A (en) * 2007-05-21 2009-02-16 Air Liquide New metal precursors for semiconductor applications
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
DE102009023381A1 (en) * 2009-05-29 2010-12-02 Grega, Samuel Manufacturing tungsten, chromium and molybdenum layers and their carbide, nitride and silicide, multi-layer structure and connection structure on solid substrate, comprises impacting substrate by tungsten, chromium and molybdenum carbonyl
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8460753B2 (en) * 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013155432A2 (en) * 2012-04-12 2013-10-17 Veeco Instruments, Inc. Plasma enhanced atomic layer deposition method
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9214340B2 (en) * 2014-02-05 2015-12-15 Applied Materials, Inc. Apparatus and method of forming an indium gallium zinc oxide layer
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (en) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
US20210395883A1 (en) * 2020-06-22 2021-12-23 Tokyo Electron Limited System and Method for Thermally Cracking Ammonia
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4413022A (en) 1979-02-28 1983-11-01 Canon Kabushiki Kaisha Method for performing growth of compound thin films
US4486487A (en) 1982-05-10 1984-12-04 Oy Lohja Ab Combination film, in particular for thin film electroluminescent structures
US5114556A (en) 1989-12-27 1992-05-19 Machine Technology, Inc. Deposition apparatus and method for enhancing step coverage and planarization on semiconductor wafers
US5262610A (en) 1991-03-29 1993-11-16 The United States Of America As Represented By The Air Force Low particulate reliability enhanced remote microwave plasma discharge device
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5374570A (en) 1989-03-17 1994-12-20 Fujitsu Limited Method of manufacturing active matrix display device using insulation layer formed by the ale method
US5526244A (en) 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
US5630880A (en) 1996-03-07 1997-05-20 Eastlund; Bernard J. Method and apparatus for a large volume plasma processor that can utilize any feedstock material
US5711811A (en) 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US5779802A (en) 1990-12-10 1998-07-14 Imec V.Z.W. Thin film deposition chamber with ECR-plasma source
US5804488A (en) 1995-08-24 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a tungsten silicide capacitor having a high breakdown voltage
US5902404A (en) 1997-03-04 1999-05-11 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US5964949A (en) 1996-03-06 1999-10-12 Mattson Technology, Inc. ICP reactor having a conically-shaped plasma-generating section
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6015590A (en) 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US6042652A (en) 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US6071572A (en) 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6139700A (en) 1997-10-01 2000-10-31 Samsung Electronics Co., Ltd. Method of and apparatus for forming a metal interconnection in the contact hole of a semiconductor device
US6144060A (en) 1997-07-31 2000-11-07 Samsung Electronics Co., Ltd. Integrated circuit devices having buffer layers therein which contain metal oxide stabilized by heat treatment under low temperature
US6174809B1 (en) 1997-12-31 2001-01-16 Samsung Electronics, Co., Ltd. Method for forming metal layer using atomic layer deposition
US6179919B1 (en) 1998-03-07 2001-01-30 United Silicon Incorporated Apparatus for performing chemical vapor deposition
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6207302B1 (en) 1997-03-04 2001-03-27 Denso Corporation Electroluminescent device and method of producing the same
US6207487B1 (en) 1998-10-13 2001-03-27 Samsung Electronics Co., Ltd. Method for forming dielectric film of capacitor having different thicknesses partly
US6218298B1 (en) 1999-05-19 2001-04-17 Infineon Technologies North America Corp. Tungsten-filled deep trenches
WO2001027346A1 (en) * 1999-10-15 2001-04-19 Asm Microchemistry Oy Method of modifying source chemicals in an ald process
US20010000866A1 (en) 1999-03-11 2001-05-10 Ofer Sneh Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US20010009140A1 (en) 1999-05-10 2001-07-26 Niklas Bondestam Apparatus for fabrication of thin films
US20010009695A1 (en) 2000-01-18 2001-07-26 Saanila Ville Antero Process for growing metalloid thin films
US6270572B1 (en) 1998-08-07 2001-08-07 Samsung Electronics Co., Ltd. Method for manufacturing thin film using atomic layer deposition
US6284646B1 (en) 1997-08-19 2001-09-04 Samsung Electronics Co., Ltd Methods of forming smooth conductive layers for integrated circuit devices
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US20010024871A1 (en) 1998-04-24 2001-09-27 Fuji Xerox Co. Semiconductor device and method and apparatus for manufacturing semiconductor device
US20010024387A1 (en) 1999-12-03 2001-09-27 Ivo Raaijmakers Conformal thin films over textured capacitor electrodes
US20010025979A1 (en) 1999-12-22 2001-10-04 Min-Soo Kim Semiconductor device incorporated therein high K capacitor dielectric and method for the manufacture thereof
US20010028924A1 (en) 1996-08-16 2001-10-11 Arthur Sherman Sequential chemical vapor deposition
US20010029094A1 (en) 1998-09-17 2001-10-11 Yoon Mee-Young Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer
US20010031541A1 (en) 1998-08-28 2001-10-18 Arun Madan Hot wire chemical vapor deposition method and apparatus using graphite hot rods
US20010034123A1 (en) 2000-04-20 2001-10-25 In-Sang Jeon Method of manufacturing a barrier metal layer using atomic layer deposition
US20010041250A1 (en) 2000-03-07 2001-11-15 Werkhoven Christian J. Graded thin films
US20010050039A1 (en) 2000-06-07 2001-12-13 Park Chang-Soo Method of forming a thin film using atomic layer deposition method
US6333260B1 (en) 1998-06-24 2001-12-25 Samsung Electronics Co., Ltd. Semiconductor device having improved metal line structure and manufacturing method therefor
US20010054769A1 (en) 2000-05-15 2001-12-27 Ivo Raaijmakers Protective layers prior to alternating layer deposition
US20010054730A1 (en) 2000-06-07 2001-12-27 Samsung Electronics Co., Ltd. Metal-insulator-metal capacitor and manufacturing method thereof
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US20020000598A1 (en) 1999-12-08 2002-01-03 Sang-Bom Kang Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors
US6337289B1 (en) 1999-09-24 2002-01-08 Applied Materials. Inc Method and apparatus for integrating a metal nitride film in a semiconductor device
US20020004293A1 (en) 2000-05-15 2002-01-10 Soininen Pekka J. Method of growing electrical conductors
US20020007790A1 (en) 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US20020019121A1 (en) 2000-06-20 2002-02-14 Pyo Sung Gyu Method of forming a metal wiring in a semiconductor device
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US20020021544A1 (en) 2000-08-11 2002-02-21 Hag-Ju Cho Integrated circuit devices having dielectric regions protected with multi-layer insulation structures and methods of fabricating same
US20020020869A1 (en) 1999-12-22 2002-02-21 Ki-Seon Park Semiconductor device incorporated therein high K capacitor dielectric and method for the manufacture thereof
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020037630A1 (en) 2000-06-08 2002-03-28 Micron Technology, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US6369430B1 (en) 2001-04-02 2002-04-09 Motorola, Inc. Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same
US6372598B2 (en) 1998-06-16 2002-04-16 Samsung Electronics Co., Ltd. Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
US20020048635A1 (en) 1998-10-16 2002-04-25 Kim Yeong-Kwan Method for manufacturing thin film
US20020048880A1 (en) 2000-08-09 2002-04-25 Joo-Won Lee Method of manufacturing a semiconductor device including metal contact and capacitor
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416577B1 (en) 1997-12-09 2002-07-09 Asm Microchemistry Ltd. Method for coating inner surfaces of equipment
US6420189B1 (en) 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US6423619B1 (en) 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6447933B1 (en) 2001-04-30 2002-09-10 Advanced Micro Devices, Inc. Formation of alloy material using alternating depositions of alloy doping element and bulk material
US6458701B1 (en) 1999-10-20 2002-10-01 Samsung Electronics Co., Ltd. Method for forming metal layer of semiconductor device using metal halide gas
US6468924B2 (en) 2000-12-06 2002-10-22 Samsung Electronics Co., Ltd. Methods of forming thin films by atomic layer deposition
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6478872B1 (en) 1999-01-18 2002-11-12 Samsung Electronics Co., Ltd. Method of delivering gas into reaction chamber and shower head used to deliver gas
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6548424B2 (en) 2000-04-14 2003-04-15 Asm Microchemistry Oy Process for producing oxide thin films
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6632279B1 (en) 1999-10-14 2003-10-14 Asm Microchemistry, Oy Method for growing thin oxide films
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
KR100332313B1 (en) * 2000-06-24 2002-04-12 서성기 Apparatus and method for depositing thin film on wafer
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6336260B1 (en) * 2000-07-06 2002-01-08 Basecamp Innovations, Ltd. Gated rigging plate
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
JP4333900B2 (en) * 2000-11-30 2009-09-16 エーエスエム インターナショナル エヌ.ヴェー. Magnetic memory cell, method for manufacturing magnetic structure and magnetic element, and method for growing metal layer for magnetic structure
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
KR20020049875A (en) * 2000-12-20 2002-06-26 윤종용 Ferroelectric capacitor in semiconductor memory device and method for manufacturing the same
EP1421607A2 (en) * 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
JP2005518088A (en) * 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド Formation of tungsten composite film
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
EP1425435A2 (en) * 2001-09-14 2004-06-09 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US20030057526A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US20030072884A1 (en) * 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
JP4188033B2 (en) * 2002-08-30 2008-11-26 本田技研工業株式会社 Hydraulic shock absorber mounting structure

Patent Citations (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US4413022A (en) 1979-02-28 1983-11-01 Canon Kabushiki Kaisha Method for performing growth of compound thin films
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4486487A (en) 1982-05-10 1984-12-04 Oy Lohja Ab Combination film, in particular for thin film electroluminescent structures
US5374570A (en) 1989-03-17 1994-12-20 Fujitsu Limited Method of manufacturing active matrix display device using insulation layer formed by the ale method
US5114556A (en) 1989-12-27 1992-05-19 Machine Technology, Inc. Deposition apparatus and method for enhancing step coverage and planarization on semiconductor wafers
US5779802A (en) 1990-12-10 1998-07-14 Imec V.Z.W. Thin film deposition chamber with ECR-plasma source
US5262610A (en) 1991-03-29 1993-11-16 The United States Of America As Represented By The Air Force Low particulate reliability enhanced remote microwave plasma discharge device
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5526244A (en) 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
US5711811A (en) 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US20020041931A1 (en) 1994-11-28 2002-04-11 Tuomo Suntola Method for growing thin films
US6015590A (en) 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US5804488A (en) 1995-08-24 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a tungsten silicide capacitor having a high breakdown voltage
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US5964949A (en) 1996-03-06 1999-10-12 Mattson Technology, Inc. ICP reactor having a conically-shaped plasma-generating section
US5630880A (en) 1996-03-07 1997-05-20 Eastlund; Bernard J. Method and apparatus for a large volume plasma processor that can utilize any feedstock material
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US20010028924A1 (en) 1996-08-16 2001-10-11 Arthur Sherman Sequential chemical vapor deposition
US20020031618A1 (en) 1996-08-16 2002-03-14 Arthur Sherman Sequential chemical vapor deposition
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6071572A (en) 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US5902404A (en) 1997-03-04 1999-05-11 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
US6207302B1 (en) 1997-03-04 2001-03-27 Denso Corporation Electroluminescent device and method of producing the same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6144060A (en) 1997-07-31 2000-11-07 Samsung Electronics Co., Ltd. Integrated circuit devices having buffer layers therein which contain metal oxide stabilized by heat treatment under low temperature
US6284646B1 (en) 1997-08-19 2001-09-04 Samsung Electronics Co., Ltd Methods of forming smooth conductive layers for integrated circuit devices
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6139700A (en) 1997-10-01 2000-10-31 Samsung Electronics Co., Ltd. Method of and apparatus for forming a metal interconnection in the contact hole of a semiconductor device
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6416577B1 (en) 1997-12-09 2002-07-09 Asm Microchemistry Ltd. Method for coating inner surfaces of equipment
US6174809B1 (en) 1997-12-31 2001-01-16 Samsung Electronics, Co., Ltd. Method for forming metal layer using atomic layer deposition
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6379748B1 (en) 1998-01-23 2002-04-30 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6179919B1 (en) 1998-03-07 2001-01-30 United Silicon Incorporated Apparatus for performing chemical vapor deposition
US20010024871A1 (en) 1998-04-24 2001-09-27 Fuji Xerox Co. Semiconductor device and method and apparatus for manufacturing semiconductor device
US6372598B2 (en) 1998-06-16 2002-04-16 Samsung Electronics Co., Ltd. Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
US6333260B1 (en) 1998-06-24 2001-12-25 Samsung Electronics Co., Ltd. Semiconductor device having improved metal line structure and manufacturing method therefor
US6270572B1 (en) 1998-08-07 2001-08-07 Samsung Electronics Co., Ltd. Method for manufacturing thin film using atomic layer deposition
US20010031541A1 (en) 1998-08-28 2001-10-18 Arun Madan Hot wire chemical vapor deposition method and apparatus using graphite hot rods
US6358829B2 (en) 1998-09-17 2002-03-19 Samsung Electronics Company., Ltd. Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer
US20010029094A1 (en) 1998-09-17 2001-10-11 Yoon Mee-Young Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer
US6207487B1 (en) 1998-10-13 2001-03-27 Samsung Electronics Co., Ltd. Method for forming dielectric film of capacitor having different thicknesses partly
US20020048635A1 (en) 1998-10-16 2002-04-25 Kim Yeong-Kwan Method for manufacturing thin film
US6478872B1 (en) 1999-01-18 2002-11-12 Samsung Electronics Co., Ltd. Method of delivering gas into reaction chamber and shower head used to deliver gas
US6451695B2 (en) 1999-03-11 2002-09-17 Genus, Inc. Radical-assisted sequential CVD
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US20010002280A1 (en) 1999-03-11 2001-05-31 Ofer Sneh Radical-assisted sequential CVD
US6451119B2 (en) 1999-03-11 2002-09-17 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US20010000866A1 (en) 1999-03-11 2001-05-10 Ofer Sneh Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6475910B1 (en) 1999-03-11 2002-11-05 Genus, Inc. Radical-assisted sequential CVD
US6042652A (en) 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US20010009140A1 (en) 1999-05-10 2001-07-26 Niklas Bondestam Apparatus for fabrication of thin films
US6218298B1 (en) 1999-05-19 2001-04-17 Infineon Technologies North America Corp. Tungsten-filled deep trenches
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6337289B1 (en) 1999-09-24 2002-01-08 Applied Materials. Inc Method and apparatus for integrating a metal nitride film in a semiconductor device
US6632279B1 (en) 1999-10-14 2003-10-14 Asm Microchemistry, Oy Method for growing thin oxide films
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
WO2001027346A1 (en) * 1999-10-15 2001-04-19 Asm Microchemistry Oy Method of modifying source chemicals in an ald process
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6458701B1 (en) 1999-10-20 2002-10-01 Samsung Electronics Co., Ltd. Method for forming metal layer of semiconductor device using metal halide gas
US20010024387A1 (en) 1999-12-03 2001-09-27 Ivo Raaijmakers Conformal thin films over textured capacitor electrodes
US20020000598A1 (en) 1999-12-08 2002-01-03 Sang-Bom Kang Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors
US20020020869A1 (en) 1999-12-22 2002-02-21 Ki-Seon Park Semiconductor device incorporated therein high K capacitor dielectric and method for the manufacture thereof
US20010025979A1 (en) 1999-12-22 2001-10-04 Min-Soo Kim Semiconductor device incorporated therein high K capacitor dielectric and method for the manufacture thereof
US6599572B2 (en) 2000-01-18 2003-07-29 Asm Microchemistry Oy Process for growing metalloid thin films utilizing boron-containing reducing agents
US20010009695A1 (en) 2000-01-18 2001-07-26 Saanila Ville Antero Process for growing metalloid thin films
US6534395B2 (en) 2000-03-07 2003-03-18 Asm Microchemistry Oy Method of forming graded thin films using alternating pulses of vapor phase reactants
US20010041250A1 (en) 2000-03-07 2001-11-15 Werkhoven Christian J. Graded thin films
US6548424B2 (en) 2000-04-14 2003-04-15 Asm Microchemistry Oy Process for producing oxide thin films
US20010034123A1 (en) 2000-04-20 2001-10-25 In-Sang Jeon Method of manufacturing a barrier metal layer using atomic layer deposition
US6399491B2 (en) 2000-04-20 2002-06-04 Samsung Electronics Co., Ltd. Method of manufacturing a barrier metal layer using atomic layer deposition
US20010054769A1 (en) 2000-05-15 2001-12-27 Ivo Raaijmakers Protective layers prior to alternating layer deposition
US20020004293A1 (en) 2000-05-15 2002-01-10 Soininen Pekka J. Method of growing electrical conductors
US6686271B2 (en) 2000-05-15 2004-02-03 Asm International N.V. Protective layers prior to alternating layer deposition
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6482740B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
US20010054730A1 (en) 2000-06-07 2001-12-27 Samsung Electronics Co., Ltd. Metal-insulator-metal capacitor and manufacturing method thereof
US20010050039A1 (en) 2000-06-07 2001-12-13 Park Chang-Soo Method of forming a thin film using atomic layer deposition method
US20020037630A1 (en) 2000-06-08 2002-03-28 Micron Technology, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
US20020019121A1 (en) 2000-06-20 2002-02-14 Pyo Sung Gyu Method of forming a metal wiring in a semiconductor device
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US20020007790A1 (en) 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US20020048880A1 (en) 2000-08-09 2002-04-25 Joo-Won Lee Method of manufacturing a semiconductor device including metal contact and capacitor
US20020021544A1 (en) 2000-08-11 2002-02-21 Hag-Ju Cho Integrated circuit devices having dielectric regions protected with multi-layer insulation structures and methods of fabricating same
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6569501B2 (en) * 2000-12-06 2003-05-27 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6468924B2 (en) 2000-12-06 2002-10-22 Samsung Electronics Co., Ltd. Methods of forming thin films by atomic layer deposition
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6369430B1 (en) 2001-04-02 2002-04-09 Motorola, Inc. Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same
US6420189B1 (en) 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US6447933B1 (en) 2001-04-30 2002-09-10 Advanced Micro Devices, Inc. Formation of alloy material using alternating depositions of alloy doping element and bulk material
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6423619B1 (en) 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation

Non-Patent Citations (48)

* Cited by examiner, † Cited by third party
Title
Apen, E., et al. "Ammonia adsorption and decomposition on the GaAs(100)-c(8x2) surface" Surface Science 321 (1994) 301-307.
Baillargeon, J.N., et al. "Investigation of the thermal dissociation of PH3 and NH3 using quadrupole mass spectrometry," J. Appl. Phys. 69(12) Jun. 15, 1991.
Bedair, S.M., et al. "Atomic layer epitaxy deposition processes," J. Vac. Sci. Technol. B 12(1), Jan./Feb. 1994.
Clark-Phelps, et al. "Engineered Tantalum Alumiate and Hafnium Aluminate ALD Films for Ultrathin Dielectric Films with Improved Electrical and Thermal Properties," Mat. Res. Soc. Symp. Proc. vol. 670 (2001).
Colaianni, M.L., et al. "The stepwise dissociation of NH3 on the Si(111)-(7x 7) surface: Low-temperature dissociative adsorption and thermal effects," J. Chem. Phys. 96(10), May 15, 1992.
Conference Report for the 2<nd >International Conference on CAT-CVD (Hot-Wire CVD) Process, Denver, Colorado, Sep. 10-14, 2002.
Davidson, D.F., et al. "A Pyrolysis Mechanism for Ammonia," Int'l J. of Chem. Kin., vol. 22, 513-535 (1990).
De Keijser, et al., "Atomic Layer Epitaxy of Gallium Arsenide with the Use of Atomic Hydrogen", Applied Physics Letters, Mar. 18, 1991, USA, vol. 58, No. 11, pp. 1187-1189, XP002268294.
DeKeijser, M., et al. "Atomic layer epitaxy of gallium arsenide with the use of atomic hydrogen," Appl. Phys. Lett. 58(11), Mar. 18, 1991.
Eisenbraum, et al. "Atomic Layer Deposition (ALD) of Tantalum-based materials for zero thickness copper barrier applications," Proceedings of the IEEE 2001 International Interconnect Technology Conference (Cat. No. 01EX461) 2001.
George, et al. "Surface Chemistry for Atomic Layer Growth," J. Phys. Chem. 1996, 100, 13121-13131.
Hukka, T., et al., "Novel method for chemical vapor deposition and atomic layer epitaxy using radical chemistry," Thin Solid Films, 225 (1993).
Hwang, et al. "Nanometer-Size alpha-PbO2-type TiO2 in Garnet: A Thermobarometer for Ultrahigh-Pressure Metamorphism," Science vol. 288 (Apr. 14, 2000).
Imai, S., et al. "Atomic layer epitaxy of Si using atomic H," Thin Solid Films, 225 (1993) 168-172.
International Search Report for International Application No. PCT/US03/19706 (APPM/6171PCT) dated Feb. 2, 2004.
Juppo, et al., Trimethylaluminum as a Reducing Agent in the Atomic Layer Deposition of Ti(AI)N Thin Films, Chemical Vapor Deposition, VCH Publishers, Weinheim, DE, vol. 7, No. 5, Sep. 2001 (2001-9), pp. 211-217, XP001099852.
Kim, et al., The Growth of Tantalum Thin Films by Plasma-enhanced Atomic Layer Deposition and Diffusion Barrier Properties, Silicon Materials-Processing, Characterization and Reliability Symposium (Mater. Res. Soc. Proceedings vol. 716), Silicon Material- Processing, Characterization and Reliability Symposium, San Francisco, CA, USA, Apr. 1-5, 2002, pp. 407-412, XP008026978, 2002, Warrendale, PA, USA, Mater. Res. Soc, USA.
King, D.A., et al. "Fundamental Studies of Heterogeneous Catalysis," The Chemical Physics of Solid Surfaces and Heterogeneous Catalysis (1982).
Klaus, et al. "Atomic Layer Deposition of SiO2 Using Catalyzed and Uncatalyzed Self-Limiting Surface Reactions," Surface Review and Letters, vol. 6, Nos. 3 & 4 (1999) 435-448.
Klaus, et al. "Atomically Controlled Growth of Tungsten and Tungsten Nitride Using Sequential Surface Reactions," Applied Surface Science, 162-163 (2000) 479-491.
Kukli, et al. "Atomic Layer Epitaxy Growth of Tantalum Oxide Thin Films from Ta(OC2H5)5 and H2O," Journal of the Electrochemical Society, vol. 142, No. 5, May 1995; p. 1670-5.
Kukli, et al., "In situ Study of Atomic Layer Epitaxy Growth of Tantalum Oxide Thin Films From Ta(OC2H5)5 and H2O," Applied Surface Science, vol. 112, Mar. 1997, p. 236-42.
Kukli, et al., "Properties of {Nb1-xTax}2O5 Solid Solutions and {Nb1-xTax}2O5-ZrO2 Nanolaminates Grown by Atomic Layer Epitaxy," 1997; p. 785-93.
Kukli, et al., "Properties of Ta2O5-Based Dielectric Nanolaminates Deposited by Atomic Layer Epitaxy," Journal of the Electrochemical Society, vol. 144, No. 1, Jan. 1997; p. 300-6.
Kukli, et al., "Tailoring the Dielectric Properties of HIO2-Ta2O5 Nanolaminates," Applied Physics Letters, vol. 68, No. 26, Jun. 24, 1996; p. 3737-9.
Langmuir, I. "The Dissociation of Hydrogen into Atoms," JACS, 34, 860 (1912).
Martensson, et al. "Use of Atomic Layer Epitaxy for Fabrication of Si/TiN/Cu Structures," J. Vac. Sci. & Tech. B, vol. 17, No. 5 (Sep. 1999) pp. 2122-2128.
Min, et al. "Atomic Layer Deposition of TiN Thin Films by Sequential Introduction of Ti Precursor and NH3," Mat. Res. Soc. Symp. Proc. vol. 514 (1998).
Min, et al. "Chemical Vapor Deposition of Ti-Si-N Films With Alternating Source Supply," Mat. Rec. Soc. Symp. Proc. vol. (1999).
Min, et al. "Metal-organic Atomic-layer Deposition of Titanium-silicon-nitride films," Applied Physics Letters, vol. 75, No. 11 (Sep. 13, 1999).
Niinisto, et al. "Synthesis of Oxide Thin Films and Overlayers by Atomic Layer Epitaxy for Advanced Applications," Materials Science and Engineering B41 (1996) 23-29.
Park, et al., "Plasma-Enhanced Atomic Layer Deposition of Ta-N Thin Films", Journal of the Electrochemical Society, Jan. 2002, Electrochem. Soc., USA, vol. 149, No. 1, pp. C28-C32, XP002268295.
Proceedings of the ICEEE 1998 International Interconnect Technology Conference-San Francisco, California, Jun. 1-3, 1998.
Ritala, et al. "Atomic Force Microscopy Study of Titanium Dioxide Thin Films Grown by Atomic Layer Epitaxy," Thin Solid Films, vol. 228, No. 1-2 (May 15, 1993), pp. 32-35.
Ritala, et al. "Atomic Layer Epitaxy Growth of TiN Thin Films From Til4 and NH3," J. Electrochem. Soc., vol. 145, No. 8 (Aug., 1998) pp. 2914-2920.
Ritala, et al. "Atomic Layer Epitaxy Growth of TiN Thin Films," J. Electrochem. Soc., vol. 142, No. 8, Aug. 1995.
Ritala, et al. "Effects of Intermediate Zinc Pulses on Properties of TiN and NbN Films by Atomic Layer Epitaxy," Applied Surface Science, vol. 120, No. 3-4, (Dec. 1997), pp. 199-212.
Ritala, et al. "Growth of Titanium Dioxide Thin Films by Atomic Layer Epitaxy," Thin Solid Films, vol. 225, No. 1-2 (Mar. 25, 1993), pp. 288-295.
Ritala, et al. "Perfectly Conformal TiN and Al2O3 Films Deposited by Atomic Layer Deposition," Chem. Vap. Deposition 1999, 5, No. 1.
Ritala, et al. "Surface Roughness Reduction in Atomic Layer Epitaxy Growth of Titanium Dioxide Thin Films," Thin Solid Films, vol. 249, No. 2 (Sep. 15, 1994), pp. 155-162.
Ritala, et al., "Controlled Growth of TaN, Ta3N5, and TaOxNy Thin Films by Atomic Layer Deposition", Chemistry of Materials, vol. 11, 1999, pp. 1712-1718, XP002268297.
Rossnagel, et al. "Plasma-enhanced Atomic Layer Deposition of Ta and Ti for Interconnect Diffusion Barriers," J. Vacuum Sci. & Tech. B., vol. 18, No. 4 (Jul. 2000), pp. 2016-2020.
Sheng, et al., "Decomposition of Trimethylgallium in the downstrea, region of a Near Afterglow Plasma", Applied Physics Letters, Dec. 4, 1989, USA, vol. 55, No. 23, pp. 2411-2413, XP002268298.
Waghmare, P., et al. Ultra-thin silicon nitride by the hot wire chemical vapor deposition (HWCVD) for deep sub-micron CMOS technologies, Microelectronic Engineering 61-62 (2002) 625-629.
Yang, et al. "Atomic Layer Deposition of Tungsten Film from WF6/B2H6: Nucleation Layer for Advanced Semiconductor Device," Conference Proceedings ULSI XVII (2002) Materials Research Society.
Yates, Jr., et al. "Atomic H: a reagent for the extraction of chemical species from Si surfaces," Thin Solid Films, 225 (1993).
Yokoyama, S., "Atomic-layer selective deposition of silicon nitride on hydrogen-terminated Si surfaces," Applied Surface Science 130-132 (1998) 352-356.
Yokoyama, Shin, et al. "Atomic-layer Deposition of Silicon Nitride," Journal of the Korean Physical Society, vol. 35, Jul. 1999, pp. S71-S75.

Cited By (174)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090130837A1 (en) * 1998-10-01 2009-05-21 Applied Materials, Inc. In situ deposition of a low k dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US20060089007A1 (en) * 1998-10-01 2006-04-27 Applied Materials, Inc. In situ deposition of a low K dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US7670945B2 (en) 1998-10-01 2010-03-02 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US20050118804A1 (en) * 2000-06-27 2005-06-02 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US20090156004A1 (en) * 2000-06-28 2009-06-18 Moris Kori Method for forming tungsten materials during vapor deposition processes
US7745333B2 (en) 2000-06-28 2010-06-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7846840B2 (en) 2000-06-28 2010-12-07 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US7709385B2 (en) 2000-06-28 2010-05-04 Applied Materials, Inc. Method for depositing tungsten-containing layers by vapor deposition techniques
US20070218688A1 (en) * 2000-06-28 2007-09-20 Ming Xi Method for depositing tungsten-containing layers by vapor deposition techniques
US20100093170A1 (en) * 2000-06-28 2010-04-15 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US7674715B2 (en) 2000-06-28 2010-03-09 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US20080280438A1 (en) * 2000-06-28 2008-11-13 Ken Kaung Lai Methods for depositing tungsten layers employing atomic layer deposition techniques
US7220673B2 (en) 2000-06-28 2007-05-22 Applied Materials, Inc. Method for depositing tungsten-containing layers by vapor deposition techniques
US7781326B2 (en) * 2001-02-02 2010-08-24 Applied Materials, Inc. Formation of a tantalum-nitride layer
US20060030148A1 (en) * 2001-02-02 2006-02-09 Applied Materials, Inc. Formation of a tantalum-nitride layer
US20050217582A1 (en) * 2001-03-19 2005-10-06 Apex Co., Ltd. Chemical vapor deposition method
US7410676B2 (en) * 2001-03-19 2008-08-12 Ips Ltd. Chemical vapor deposition method
US7749815B2 (en) 2001-07-16 2010-07-06 Applied Materials, Inc. Methods for depositing tungsten after surface treatment
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US20110086509A1 (en) * 2001-07-25 2011-04-14 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20080268636A1 (en) * 2001-07-25 2008-10-30 Ki Hwan Yoon Deposition methods for barrier and tungsten materials
US8187970B2 (en) 2001-07-25 2012-05-29 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20090053426A1 (en) * 2001-07-25 2009-02-26 Jiang Lu Cobalt deposition on barrier surfaces
US20070202254A1 (en) * 2001-07-25 2007-08-30 Seshadri Ganguli Process for forming cobalt-containing materials
US8563424B2 (en) 2001-07-25 2013-10-22 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US9209074B2 (en) 2001-07-25 2015-12-08 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20060148253A1 (en) * 2001-09-26 2006-07-06 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20050106865A1 (en) * 2001-09-26 2005-05-19 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20070283886A1 (en) * 2001-09-26 2007-12-13 Hua Chung Apparatus for integration of barrier layer and seed layer
US20100247767A1 (en) * 2001-10-26 2010-09-30 Ling Chen Gas delivery apparatus and method for atomic layer deposition
US7780788B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20050173068A1 (en) * 2001-10-26 2005-08-11 Ling Chen Gas delivery apparatus and method for atomic layer deposition
US8668776B2 (en) 2001-10-26 2014-03-11 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7892602B2 (en) 2001-12-07 2011-02-22 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20060216928A1 (en) * 2001-12-07 2006-09-28 Hua Chung Cyclical deposition of refractory metal silicon nitride
US20060292864A1 (en) * 2002-01-26 2006-12-28 Yang Michael X Plasma-enhanced cyclic layer deposition process for barrier layers
US7732325B2 (en) 2002-01-26 2010-06-08 Applied Materials, Inc. Plasma-enhanced cyclic layer deposition process for barrier layers
US20070020924A1 (en) * 2002-02-26 2007-01-25 Shulin Wang Tungsten nitride atomic layer deposition processes
US7745329B2 (en) 2002-02-26 2010-06-29 Applied Materials, Inc. Tungsten nitride atomic layer deposition processes
US20080305629A1 (en) * 2002-02-26 2008-12-11 Shulin Wang Tungsten nitride atomic layer deposition processes
US20110070730A1 (en) * 2002-03-04 2011-03-24 Wei Cao Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20030224600A1 (en) * 2002-03-04 2003-12-04 Wei Cao Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7867896B2 (en) 2002-03-04 2011-01-11 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20060019494A1 (en) * 2002-03-04 2006-01-26 Wei Cao Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7220312B2 (en) * 2002-03-13 2007-05-22 Micron Technology, Inc. Methods for treating semiconductor substrates
US20040235302A1 (en) * 2002-03-13 2004-11-25 Doan Trung Tri Method of atomic layer deposition on plural semiconductor substrates simultaneously
US20030186515A1 (en) * 2002-03-13 2003-10-02 Dean Trung Tri Methods for simultaneously depositing layers over pluralities of discrete semiconductor substrate
US7247581B2 (en) 2002-03-13 2007-07-24 Micron Technology, Inc. Methods for treating pluralities of discrete semiconductor substrates
US20030176060A1 (en) * 2002-03-13 2003-09-18 Doan Trung Tri Methods For Treating Pluralities Of Discrete Semiconductor Substrates
US20050009335A1 (en) * 2002-03-13 2005-01-13 Dean Trung Tri Apparatuses for treating pluralities of discrete semiconductor substrates; and methods for treating pluralities of discrete semiconductor substrates
US20030176061A1 (en) * 2002-03-13 2003-09-18 Doan Trung Tri Apparatuses For Treating Pluralities of Discrete Semiconductor Substrates
US7183208B2 (en) 2002-03-13 2007-02-27 Micron Technology, Inc. Methods for treating pluralities of discrete semiconductor substrates
US20060057800A1 (en) * 2002-03-13 2006-03-16 Micron Technology, Inc. Methods for treating pluralities of discrete semiconductor substrates
US7112544B2 (en) 2002-03-13 2006-09-26 Micron Technology, Inc. Method of atomic layer deposition on plural semiconductor substrates simultaneously
US7867914B2 (en) 2002-04-16 2011-01-11 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20060231017A1 (en) * 2002-04-25 2006-10-19 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
US7488386B2 (en) * 2002-04-25 2009-02-10 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
US20050136657A1 (en) * 2002-07-12 2005-06-23 Tokyo Electron Limited Film-formation method for semiconductor process
US20060257295A1 (en) * 2002-07-17 2006-11-16 Ling Chen Apparatus and method for generating a chemical precursor
US20070110898A1 (en) * 2002-07-17 2007-05-17 Seshadri Ganguli Method and apparatus for providing precursor gas to a processing chamber
US20090011129A1 (en) * 2002-07-17 2009-01-08 Seshadri Ganguli Method and apparatus for providing precursor gas to a processing chamber
US7678194B2 (en) 2002-07-17 2010-03-16 Applied Materials, Inc. Method for providing gas to a processing chamber
US7166323B2 (en) * 2002-11-05 2007-01-23 Pohang University Of Science & Technology Method of coating catalyst carrier layer of metal-metal oxide, method of depositing active catalyst particles onto metal substrates for preparing metal monolith catalyst modules, and module thereby
US20040086637A1 (en) * 2002-11-05 2004-05-06 Envichem Co., Ltd. & Pohang University Of Science & Technology Method of coating catalyst carrier layer of metal-metal oxide, method of depositing active catalyst particles onto metal substrates for preparing metal monolith catalyst modules, and module thereby
US20070151514A1 (en) * 2002-11-14 2007-07-05 Ling Chen Apparatus and method for hybrid chemical processing
US20040127027A1 (en) * 2002-12-30 2004-07-01 Yoon-Jik Lee Method for forming titanium silicide contact of semiconductor device
US6964936B1 (en) * 2003-03-06 2005-11-15 Sandia Corporation Method of making maximally dispersed heterogeneous catalysts
US20050009325A1 (en) * 2003-06-18 2005-01-13 Hua Chung Atomic layer deposition of barrier materials
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7923070B2 (en) 2003-08-18 2011-04-12 Micron Technology, Inc. Atomic layer deposition method of forming conductive metal nitride-comprising layers
US20060263523A1 (en) * 2003-08-18 2006-11-23 Kraus Brenda D Atomic layer deposition methods of forming conductive metal nitride-comprising layers
US20060148269A1 (en) * 2004-02-27 2006-07-06 Micron Technology, Inc. Semiconductor devices and methods for depositing a dielectric film
US7071117B2 (en) * 2004-02-27 2006-07-04 Micron Technology, Inc. Semiconductor devices and methods for depositing a dielectric film
US20050191866A1 (en) * 2004-02-27 2005-09-01 Powell Don C. Semiconductor devices and methods for depositing a dielectric film
US8282992B2 (en) 2004-05-12 2012-10-09 Applied Materials, Inc. Methods for atomic layer deposition of hafnium-containing high-K dielectric materials
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8343279B2 (en) 2004-05-12 2013-01-01 Applied Materials, Inc. Apparatuses for atomic layer deposition
US20080044569A1 (en) * 2004-05-12 2008-02-21 Myo Nyi O Methods for atomic layer deposition of hafnium-containing high-k dielectric materials
US20060003581A1 (en) * 2004-06-30 2006-01-05 Johnston Steven W Atomic layer deposited tantalum containing adhesion layer
US7601637B2 (en) 2004-06-30 2009-10-13 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US7605469B2 (en) * 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US20090202710A1 (en) * 2004-07-20 2009-08-13 Christophe Marcadal Atomic layer deposition of tantalum-containing materials using the tantalum precursor taimata
US20060019495A1 (en) * 2004-07-20 2006-01-26 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor taimata
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US7691742B2 (en) 2004-07-20 2010-04-06 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20090098290A1 (en) * 2004-09-27 2009-04-16 Mikio Watanabe Process for formation of copper-containing films
US7625609B2 (en) * 2005-03-28 2009-12-01 Tokyo Electron Limited Formation of silicon nitride film
US20060216418A1 (en) * 2005-03-28 2006-09-28 Tokyo Electron Limited Formation of silicon nitride film
US20080044595A1 (en) * 2005-07-19 2008-02-21 Randhir Thakur Method for semiconductor processing
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
US20070119370A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20080268171A1 (en) * 2005-11-04 2008-10-30 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20070119371A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20070128862A1 (en) * 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US7682946B2 (en) 2005-11-04 2010-03-23 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US9032906B2 (en) 2005-11-04 2015-05-19 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20070128864A1 (en) * 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20070128863A1 (en) * 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20070259110A1 (en) * 2006-05-05 2007-11-08 Applied Materials, Inc. Plasma, uv and ion/neutral assisted ald or cvd in a batch tool
US20080003425A1 (en) * 2006-06-29 2008-01-03 Spencer James T Systems and Methods of the Formation of Solid State Metal Boride and Oxide Coatings
US20080135914A1 (en) * 2006-06-30 2008-06-12 Krishna Nety M Nanocrystal formation
US7838441B2 (en) 2006-10-09 2010-11-23 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US20090280640A1 (en) * 2006-10-09 2009-11-12 Applied Materials Incorporated Deposition and densification process for titanium nitride barrier layers
US20080085611A1 (en) * 2006-10-09 2008-04-10 Amit Khandelwal Deposition and densification process for titanium nitride barrier layers
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
TWI406979B (en) * 2007-01-11 2013-09-01 Taiwan Semiconductor Mfg Chemical vapor deposition
US20080171445A1 (en) * 2007-01-11 2008-07-17 Chen-Hua Yu Novel chemical vapor deposition process
US7851377B2 (en) * 2007-01-11 2010-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical vapor deposition process
US7595270B2 (en) 2007-01-26 2009-09-29 Asm America, Inc. Passivated stoichiometric metal nitride films
US7598170B2 (en) * 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US20080182410A1 (en) * 2007-01-26 2008-07-31 Asm America, Inc. Passivated stoichiometric metal nitride films
US20080182411A1 (en) * 2007-01-26 2008-07-31 Asm America, Inc. Plasma-enhanced ald of tantalum nitride films
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US20080241382A1 (en) * 2007-03-30 2008-10-02 Tkyo Electron Limited Strained metal nitride films and method of forming
US7713868B2 (en) * 2007-03-30 2010-05-11 Tokyo Electron Limited Strained metal nitride films and method of forming
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US20090078916A1 (en) * 2007-09-25 2009-03-26 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US20090081868A1 (en) * 2007-09-25 2009-03-26 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US20090087585A1 (en) * 2007-09-28 2009-04-02 Wei Ti Lee Deposition processes for titanium nitride barrier and aluminum
US9631272B2 (en) 2008-04-16 2017-04-25 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US20090315093A1 (en) * 2008-04-16 2009-12-24 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8747556B2 (en) 2008-07-03 2014-06-10 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US20100003406A1 (en) * 2008-07-03 2010-01-07 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US9017776B2 (en) 2008-07-03 2015-04-28 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8293015B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062614A1 (en) * 2008-09-08 2010-03-11 Ma Paul F In-situ chamber treatment and deposition process
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US9418890B2 (en) 2008-09-08 2016-08-16 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US20100112215A1 (en) * 2008-10-31 2010-05-06 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US9045824B2 (en) 2009-02-11 2015-06-02 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapor deposition
EP2396808A4 (en) * 2009-02-11 2013-10-16 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapor deposition
WO2010092482A3 (en) * 2009-02-11 2010-11-25 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapor deposition
US20100210067A1 (en) * 2009-02-11 2010-08-19 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapor deposition
WO2010092482A2 (en) 2009-02-11 2010-08-19 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapor deposition
EP2396808A2 (en) * 2009-02-11 2011-12-21 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapor deposition
US8580670B2 (en) 2009-02-11 2013-11-12 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapor deposition
US20110266638A1 (en) * 2010-04-30 2011-11-03 Globalfoundries Inc. Semiconductor Device Comprising Contact Elements and Metal Silicide Regions Formed in a Common Process Sequence
US8143147B1 (en) 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
CN107578983A (en) * 2013-03-15 2018-01-12 应用材料公司 Deposition and the apparatus and method of etching are excited for pulsed light
US11139383B2 (en) 2014-10-23 2021-10-05 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US10636889B2 (en) 2014-10-23 2020-04-28 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US11694912B2 (en) 2017-08-18 2023-07-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11560804B2 (en) 2018-03-19 2023-01-24 Applied Materials, Inc. Methods for depositing coatings on aerospace components
US11028480B2 (en) 2018-03-19 2021-06-08 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
US11384648B2 (en) 2018-03-19 2022-07-12 Applied Materials, Inc. Methods for depositing coatings on aerospace components
US11603767B2 (en) 2018-03-19 2023-03-14 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US11761094B2 (en) 2018-04-27 2023-09-19 Applied Materials, Inc. Protection of components from corrosion
US11753727B2 (en) 2018-04-27 2023-09-12 Applied Materials, Inc. Protection of components from corrosion
US11753726B2 (en) 2018-04-27 2023-09-12 Applied Materials, Inc. Protection of components from corrosion
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
US11732353B2 (en) 2019-04-26 2023-08-22 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11542597B2 (en) 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components

Also Published As

Publication number Publication date
WO2004007792A2 (en) 2004-01-22
WO2004007792A3 (en) 2004-04-29
US20040018304A1 (en) 2004-01-29

Similar Documents

Publication Publication Date Title
US6838125B2 (en) Method of film deposition using activated precursor gases
US6905737B2 (en) Method of delivering activated species for rapid cyclical deposition
US7645484B2 (en) Method of forming a metal carbide or metal carbonitride film having improved adhesion
US8747556B2 (en) Apparatuses and methods for atomic layer deposition
US6540838B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP5101868B2 (en) Method and system for performing different deposition processes in a single chamber
US7341959B2 (en) Plasma enhanced atomic layer deposition system and method
CN101535524B (en) Method and system for performing plasma enhanced atomic layer deposition
US6305314B1 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US8974868B2 (en) Post deposition plasma cleaning system and method
US20030049931A1 (en) Formation of refractory metal nitrides using chemisorption techniques
US7338901B2 (en) Method of preparing a film layer-by-layer using plasma enhanced atomic layer deposition
US7314835B2 (en) Plasma enhanced atomic layer deposition system and method
US8114789B2 (en) Formation of a tantalum-nitride layer
US6875271B2 (en) Simultaneous cyclical deposition in different processing regions
KR100871082B1 (en) System and method to form a composite film stack utilizing sequential deposition techniques
US20060211224A1 (en) Plasma enhanced atomic layer deposition system and method
US20060210723A1 (en) Plasma enhanced atomic layer deposition system and method
US20140255606A1 (en) Methods For Depositing Films Comprising Cobalt And Cobalt Nitrides
CN111378960B (en) Microwave-assisted atomic layer deposition method and reactor

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHUNG, HUA;CHEN, LING;KU, VINCENT W.;REEL/FRAME:013099/0883

Effective date: 20020710

CC Certificate of correction
REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20090104