US20070169694A1 - Feedback control of sub-atmospheric chemical vapor deposition processes - Google Patents
Feedback control of sub-atmospheric chemical vapor deposition processes Download PDFInfo
- Publication number
- US20070169694A1 US20070169694A1 US11/701,401 US70140107A US2007169694A1 US 20070169694 A1 US20070169694 A1 US 20070169694A1 US 70140107 A US70140107 A US 70140107A US 2007169694 A1 US2007169694 A1 US 2007169694A1
- Authority
- US
- United States
- Prior art keywords
- deposition
- film
- model
- tool
- sub
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Abandoned
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/20—Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B19/00—Programme-control systems
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B19/00—Programme-control systems
- G05B19/02—Programme-control systems electric
- G05B19/18—Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
- G05B19/19—Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by positioning or contouring control systems, e.g. to control position from one programmed point to another or to control movement along a programmed continuous path
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B19/00—Programme-control systems
- G05B19/02—Programme-control systems electric
- G05B19/418—Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
- G05B19/41865—Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B2219/00—Program-control systems
- G05B2219/30—Nc systems
- G05B2219/32—Operator till task planning
- G05B2219/32053—Adjust work parameter as function of other cell
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B2219/00—Program-control systems
- G05B2219/30—Nc systems
- G05B2219/32—Operator till task planning
- G05B2219/32065—Synchronise set points of processes
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B2219/00—Program-control systems
- G05B2219/30—Nc systems
- G05B2219/45—Nc applications
- G05B2219/45031—Manufacturing semiconductor wafers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67253—Process monitoring, e.g. flow or thickness monitoring
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y02—TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
- Y02P—CLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
- Y02P90/00—Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
- Y02P90/02—Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
Definitions
- the present invention generally relates to the process control of thin film deposition using sub-atmospheric chemical vapor deposition (SACVD) and more particularly to a method, medium and apparatus for providing feedback control of the SACVD deposition process.
- SACVD sub-atmospheric chemical vapor deposition
- Sub-atmospheric chemical vapor deposition is used in semiconductor manufacturing to deposit thin films on substrates, for example, to deposit a silicon dioxide film on a silicon wafer.
- One use of sub-atmospheric CVD is in the deposition of pre-metal dielectrics (PMD).
- PMD pre-metal dielectrics
- Sub-atmospheric CVD has a longer processing time than other forms of chemical vapor deposition, however, it has a much greater capability to fill trenches that are etched into wafers with very small dimensions.
- the deposited film properties i.e., film thickness, chemical homogeneity, and optical and mechanical properties, are important to the final device properties.
- a layer is deposited over existing features on a device.
- the excess coating is removed, or the variation in the coating is reduced in a subsequent chemical-mechanical deposition (CMP) step.
- CMP chemical-mechanical deposition
- the deposited film may also have features that are created on the film using a lithography process, followed by an etch process.
- Thin film deposition is an inherently complex process, thereby making it hard to simultaneously control film characteristics, such as optical and electrical properties, stresses in the film, etc., while maintaining uniform film thickness.
- Thin film deposition processes typically “drift” over time, causing the deposited film to deviate significantly from target values.
- sub-atmospheric chemical vapor deposition introduces both radial and azimuthal thickness non-uniformity, both within and among wafers. While film thickness non-uniformity can be addressed in subsequent processing steps, the greater the deposition-induced non-uniformity, the more difficult it is to achieve within-wafer thickness uniformity in subsequent steps.
- the present invention relates to a method, apparatus and medium for process control of sub-atmospheric chemical vapor deposition of a film onto a surface of a substrate, for example, a semiconductor wafer, in order to provide predetermined desirable film properties and improve wafer-to-wafer and within-wafer uniformity of film properties.
- the present invention uses a model (which can be implemented as a single model or multiple models) of the film deposition process to predict film deposition rate, film thickness uniformity and/or other film properties across the wafer surface. Deviations from the predicted outcome are used to update the model and set new deposition recipe parameters, which feed back into the process to enhance process results.
- the use of multiple wafer regions in the deposition model that defines the deposited film provides greater control over the cross-film thickness.
- the methods, apparatus and mediums of the present invention in one or more embodiments thereof) provide a model that distinguishes between depositions in different deposition chambers of the tool and between deposition parameters that are independently or commonly controlled for each chamber, thereby providing a better approximation of the tool behavior of each chamber.
- the methods, apparatus and mediums of the present invention in one or more embodiments thereof also provide a model that defines the relationship between the deposition model variables and film properties other than film thickness, allowing control of the chemical, optical and/or material properties of the thin film.
- the methods, apparatus and mediums of the present invention provide models that better approximate tool behavior by accounting for effects such as tool idle time, the effect of earlier-processed wafers on the current wafer, or the reliability of a value for a measured film quality.
- a method of film deposition in a sub-atmospheric chemical vapor deposition (CVD) process includes:
- step (b) measuring a film property of at least one of said one or more film properties for the deposited film of step (b);
- step (c) calculating an updated deposition model based upon the measured film property of step (c) and the model of step (a);
- step (e) calculating an updated deposition recipe based upon the updated model of step (d) to maintain a target film property.
- deposition recipe it is meant a set of process characteristics or parameters used to deposit a film in a deposition process. One or more of the recipe parameters are used or varied to control or influence the outcome of the deposition process.
- a recipe parameter corresponds or maps to a deposition model variable when it is a value selected for the deposition variable.
- the step of providing a model includes:
- step (g) measuring a film property for each of the at least one wafers after the deposition of step (f);
- a sub-atmospheric chemical vapor deposition tool for deposition of a film includes a sub-atmospheric chemical vapor deposition apparatus comprising a pressure chamber, a vacuum system, means for heating a wafer and a gas delivery system; controlling means capable of controlling an operating (recipe) parameter of the deposition process; and a controller operatively coupled to the controlling means, the controller operating the controlling means to adjust the operating parameter of the deposition process as a function of a model for a film property.
- the model includes a deposition model for sub-atmospheric CVD deposition of a film that identifies one or more film properties of the film and at least one deposition model variable that correlates with the one or more film properties.
- a computer readable medium including instructions being executed by a computer, the instructions including a computer-implemented software application for a sub-atmospheric chemical vapor deposition process.
- the instructions for implementing the process include a) receiving data from a sub-atmospheric chemical vapor deposition tool relating to the film property of at least one wafer processed in the sub-atmospheric chemical vapor deposition process; and b) calculating, from the data of step (a), an updated deposition model, wherein the updated deposition model is calculated by determining the difference between an output of a film deposition model and the data of step (a).
- a sub-atmospheric chemical deposition tool includes:
- modeling means for identifying one or more film properties of a film and at least one deposition model variable that correlates with the one or more film properties in a sub-atmospheric CVD deposition process
- step (b) means for measuring a film property of at least one of said one or more film properties for the deposited film of step (b);
- step (d) means for calculating an updated deposition model based upon the measured film property of step (c) and the model of step (a);
- step (e) means for calculating an updated deposition recipe based upon the updated model of step (d) to maintain a target film property.
- FIG. 1A is a schematic view of a sub-atmospheric chemical vapor deposition apparatus
- FIG. 1B is an enlarged view of the reaction chamber of the apparatus, for use in one or more embodiments of the present invention.
- FIG. 2 is a flow diagram generally illustrating model development.
- FIG. 3 is a schematic illustration showing the relationship between input and output variables in one or more embodiments of the present invention.
- FIG. 4 schematic illustration of a wafer showing regions defined for thickness profile model.
- FIG. 5 is a flow diagram of the feedback loop used in a SACVD deposition operation, as contemplated by one or more embodiments of the present invention.
- FIG. 6 is a block diagram of a computer system that includes tool representation and access control for use in one or more embodiments of the present invention.
- FIG. 7 is an illustration of a floppy disk that may store various portions of the software according to one or more embodiments of the present invention.
- FIG. 1A is a schematic illustration of an exemplary SACVD system 100 .
- the system 100 includes a chamber 120 , a vacuum system 130 , a wafer holder 160 for supporting wafer 165 , a gas or fluid delivery system 150 for introduction of reactive gases and a heater 168 for heating the wafer holder 160 .
- Reactive gases are introduced into a reaction chamber 120 through inlet 125 of the gas delivery system 150 .
- the reactive gases typically are introduced into the chamber at a source positioned opposite or a distance from the wafer 165 .
- the heated wafer holder 160 may be rotated for further uniformity of deposition, as indicated by arrow 170 .
- the gas delivery system may include heating and cooling means (not shown) for maintaining a constant gas and chamber temperature. Wafers are transferred into and out of chamber 120 by a robot blade (not shown) through an insertion/removal opening (not shown) in the side of chamber 120 . Two or more chambers may be connected. In at least some SACVD systems, the chambers share reactive gases, but have individual wafer temperature and showerhead controls.
- FIG. 1B is an enlarged view of the SACVD reaction chamber illustrating an exemplary delivery system for the reactive gases used in the SACVD process.
- the gases are introduced through inlet 125 into a heated gas distribution head (showerhead) 175 , which has outlets 180 at spaced intervals.
- the reactive gases then flow over the heated wafer, where they are deposited as a thin film.
- the elevated temperatures of the wafer promote reaction of the reactive gases and deposition of the product film.
- target output represents the desired processing outcome of the sub-atmospheric chemical vapor deposition process. Some tolerance is built into the profile, so that the profile includes the target value and acceptable standard deviations therefrom. Film thicknesses or other measured film property falling within the standard deviation would not require updating of the deposition recipe. Thus, use of the term “target output” includes the target value and the standard deviation therefrom.
- Wafer is used in a general sense to include any substantially planar object onto which a film is deposited. Wafers include monolith structures or structures having one or more layers, thin films or other features already deposited thereon. “Thin film” and “film” may be used interchangeably, unless otherwise indicated.
- An exemplary SACVD deposition system includes two or more chambers in which deposition of material occurs.
- the chambers can carry out the same process or different processes; some CVD systems are based on a series of operations, while some use parallel processing schemes.
- the SACVD chambers may thus process wafers in parallel, that is, each deposition chamber deposits a film on a wafer at the same time.
- the deposition recipe for each chamber may be the same or different.
- the chambers share some processing parameters while others are independently controlled. For example, gas flow of reactant gases is common to both (or all), but substrate temperature and showerhead spacing are independently controlled in each chamber.
- the process uses an SACVD system having twin chambers, which share the same gas distribution and thus have the same gas flow rates but which can have different heater temperatures and spacings (distance between the shower head and the substrate).
- the SACVD chamber has three sets of twin chambers for a total of six chambers, such as the ProducerTM available from Applied Materials in Santa Clara, Calif.
- the present invention is described with reference to SACVD, however is it readily apparent that other low pressure CVD processes are also contemplated.
- the present invention also is applicable to CVD systems using either a batch process or inline process.
- An inline process refers to a process in which all wafers going through a system go through a sequence of steps and those steps may be carried out in different chambers
- a batch process refers to a process in which a wafer goes to any one of the chambers in the system, where the entire deposition is then carried out.
- the SACVD processes described above may be modeled to provide a format for improving the deposition process.
- the process model should accurately predict the thin film characteristics (output) for a given set of input conditions.
- the run-to-run film characteristics are improved or maintained by adjusting the deposition model during sub-atmospheric chemical vapor deposition to correct for unmodeled effects or to correct for drift in the deposition process conditions.
- Run-to-run control can be defined as wafer-to-wafer or lot-to-lot, depending upon the processes being controlled and the available methods for monitoring output.
- an initial model is developed based upon knowledge of the film deposition process, as is shown in a flow diagram ( FIG. 2 ).
- An initial understanding of the system is acquired in step 200 , which is used to design and run a design of experiments (DOE) of step 210 .
- the DOE desirably is designed to establish the relationship between or among variables that have a strong and predictable impact on the processing output one wishes to control, e.g., film thickness or some other film property.
- the DOE provides data relating to process parameters and process outcome, which is then loaded to the advanced process control system in step 220 .
- the advanced process control system may be a controller or computer that uses the data to create and update the model.
- the model can be represented as raw data that reflects the system, or it can be represented by equations, for example multiple input-multiple output linear, quadratic and general non-linear equations, which describe the relationship among the variables of the system.
- Process requirements such as output targets and process specification are determined by the user in step 225 , which are combined with the DOE data to generate a working model in step 230 .
- film properties of interest 302 are identified and outcome determinative processing model variables 304 are selected for the model, as illustrated schematically in FIG. 3 .
- the specific film properties of interest may vary depending upon the type of film deposited, and thus the film properties of interest 302 and processing model variables 304 of FIG. 3 are shown by way of example.
- an experiment is run which perturbs or varies the values of the processing variables of interest about a center point (or median value).
- One or more processing variables can be varied.
- the film properties of interest in the resultant film are measured for each combination of inputs.
- Data can be acquired empirically, by carrying out a series of experiments over a range of values of the processing variables. The data is fit to the appropriate curve (linear or non-linear) to define the model.
- Undoped silica glass is commonly deposited by SACVD and a model development is discussed below with specific reference to USG, although it is readily apparent that the methodology can be used to develop models for any other SACVD film deposition process.
- SACVD is well suited for the deposition of doped silica glass, such as boron- and phosphorous-doped silica.
- the film properties of interest for USG film include one or more of film thickness, film thickness uniformity, stress, wet-etch rate ratio (WERR), and refractive index (RI).
- the model is developed for two or more film properties, for example, the model describes the effect of process variables on film thickness (deposition rate) and film stress, or on film thickness and refractive index.
- Process variables for deposition of the USG film include one or more of ozone flow rate, reactive gas flow rate, carrier gas flow rate, chamber pressure, wafer temperature, and showerhead spacing (distance) from the substrate, as well as total deposition time. Deposition time is controlled by the time of reactive gas flow.
- reactive gases typically include ozone (O 3 ), oxygen (O 2 ), and tetraethylorthosilicate (TEOS) or, alternatively, silane (SiH 4 ).
- Models for other film deposition systems can be similarly developed using the processing variables and film properties specific to those films. For example, when doped silica films are modeled and controlled in a manner similar to that described for USG films, dopant concentration is included in the model.
- a sub-atmospheric CVD process for deposition of boron-phosphosilicate glass is modeled.
- the level of dopant concentration is controlled by adjusting the flow rates of triethylborate (TEB) for boron and triethylphosphate (TEPO) for phosphorus.
- TEB triethylborate
- TEPO triethylphosphate
- Gas flow rates for these dopant gases control the dopant level of boron and phosphorus incorporated into the final film.
- Processing variables include total deposition time, wafer temperature, ozone flow rate, TEOS, TEB, and TEPO gas flow rates, oxygen flow rate, nitrogen flow rate, chamber pressure and spacing.
- Process outputs include film thickness and thickness uniformity, WERR, refractive index, stress, weight percent boron and weight percent phosphorus.
- An additional feature of the deposition of doped silica glass is that a change in spacing distance in order to individually control film thickness in the individual chambers also induces a change in the dopant concentration of the deposited film, i.e., the factors are coupled.
- TEB and TEPO flow rates are also adjusted.
- the model accounts for the relatedness between spacing and dopant concentration.
- the resultant interactions between the inputs and outputs requires the solution of an optimization problem for both input variables to determine the recipe which provides output predictions (targeted output) which best match the desired values of all film characteristics. Optimization is discussed in greater detail below.
- gas distribution e.g., gas composition and flow rate
- Deposition time can be controlled individually for each chamber by controlling the wafer temperature.
- the model can distinguish between the two types of processing variables (individual and common) and account for them accordingly. As discussed herein below, the model permits simultaneous optimization of more than one variable.
- the model defines two or more different film property, e.g., film thickness, regions of the wafer.
- a wafer is divided into annular regions 401 through 405 of varying width and area.
- the number, size and location of the regions also can vary and may be selected based upon any number of factors, including the variability or uniformity of the film property in a given region of the wafer.
- the regions are defined such that all azimuthal variation is averaged out.
- the use of an input value is contemplated to correct and account for azimuthal variation. Film property measurements taken within a region of the wafer are averaged to give the average film property value for that region.
- the five wafer regions of FIG. 4 can be defined as shown in Table 1 for a wafer that is 95 mm in diameter.
- Table 1 Region 401 402 403 404 405 Radius, mm 5-40 40-60 60-80 80-92 92-95
- all thin film thickness measurement points with a radial distance from the wafer center greater than 5 mm, but less than 40 mm, are averaged together to give the thickness of region 401 .
- the thicknesses for all other regions are similarly calculated, but region 405 includes all points greater than 92 mm and up to and including 95 mm.
- a film is deposited by sub-atmospheric CVD and, based upon post-deposition thickness measurements and deposition time and/or other processing variables, a film thickness and deposition rate can be determined for each region.
- a film property of interest is film thickness and one of the processing variables is deposition time. If the deposition time is manipulated through the time of reactive gas flow, and the gas flow is common to two chambers, then another variable can be used to account for differences in deposition rate among the chambers. Since there are separate heating sources for each chamber in the SACVD system, the substrate heating temperature and heating time in each chamber can be varied as a means for controlling final film thickness and as a means for adjusting differences in film thickness between deposition chambers. This provides the ability to control thin film final thickness even in the presence of differences in the chamber performances. Varying the spacing between the showerhead and the wafer also can control the film thickness, in this case, independently for each chamber. While film thickness is the measured output, it is appreciated that the information can be represented as a film deposition rate (film thickness per unit time) or as a film thickness profile (film thickness per unit area).
- models for other film properties such as stress, WERR (a measurement of film density) and RI, can be developed by manipulating deposition time, spacing and/or other processing variables. Multiple models for different film properties can be developed and used to describe the deposition process.
- the model is determined for each region of the wafer and together the models define a film thickness profile across the wafer.
- the model can predict a film thickness profile by entering hypothetical parameters into the model equation.
- a measured film thickness profile is used to further refine the model in order to obtain updated parameters and thus an updated process recipe.
- the processing variable for a basic model is typically process time; however, additional deposition model variables can be included in the model.
- Film_thickness ij ( c 1ij ⁇ spacing i +c 2ij ⁇ O 3 — flow i +c 3ij ⁇ TEOS_flow+ c 4ij ) ⁇ time
- Stress i ( b 1i ⁇ spacing i +b 2i ⁇ O 3 — flow i +b 3i ⁇ TEOS_flow+ b 4i )
- RI i ( a 1i ⁇ spacing i +a 2i +O 3 — flow i +a 3i ⁇ TEOS_flow+ a 4i )
- c 1ij through c 4ij are the parameters which provide the contribution of the particular processing variable to the film thickness in region j for a wafer in the i th chamber
- b 1i through b 4i are the parameters which provide the contribution of the particular processing variable to the film stress for the wafer in
- the film property e.g., film thickness
- film properties e.g., stress and refractive index
- process variables of equations (2c)-(2e) are exemplary; other process variables can be used to define tool behavior with respect to the noted film properties.
- the exemplary models provided above include common process variables that affect both chambers and independent process variables that affect each chamber individually.
- the models can describe tool behavior in one or more regions of the film corresponding to different annular regions of the wafer. This allows the controller to perform controls on multiple film regions simultaneously. This multiple region control provides control of within wafer uniformity.
- the model can account for an unlimited number of processing variables and permits their optimization while taking into consideration whether they affect all or only individual deposition chambers, or whether they affect different regions of the film differently.
- the model may be further augmented to include the effect of the tool state.
- the tool state takes into consideration the effect of wear and use on the tool, here, a SACVD apparatus.
- This function is typically expressed as a scaling factor that takes the tool state into consideration.
- Factors that can affect tool state include idle time (time since last film deposition) and frequency of cleaning (or number of wafers deposited between cleaning or other shut down operation, such as preventative maintenance).
- the first wafers coated after the tool has been idle typically have a different deposition rate than subsequently coated wafers, a situation known as the “first wafer effect”.
- the model is further modified to account for the effect of tool idle time on film deposition rate.
- the model accounts for such variations on deposition rate by monitoring the idle time of the system and adjusting the deposition rate accordingly.
- DR idle x ⁇ ( DR no — idle ,idle_time, x 1 X 2 , . . . x n ) (5)
- ⁇ ( ) is some function which describes how the deposition rate is a function of the deposition rate when there is no idle time, the idle time, and other past or current process parameters related to the controller, tool state, or wafer state, here denoted by x 1 ,x 2 , . . . , x n .
- the “first wafer effect” is a member of a broader class of events, in which a single wafer measurement differs significantly from previous and subsequent measurements run on a specific tool or resource and, as such, does not represent an accurate representation of the process tool during normal operation. Accordingly, when these measurements are used in a feedback control system, this erroneous information may cause the system performance to deteriorate. These sudden changes can be the result of abrupt changes in the processing equipment, such as starting up the process after the system has been idle for a time, or it can be due to processing errors, such as an error in the metrology system. Since these sudden changes do not accurately reflect the subsequent behavior of the process tool, a methodology is used to evaluate the reliability of the measurement.
- a methodology is provided within the model for assessing the reliability of the measurement.
- the methodology (i) estimates the intrinsic variation in the process, (ii) determines when a recent measurement is outside normal operating variation and, if so, marks the data as suspicious, and (iii) ignores the data until a trend is determined from subsequent data.
- This methodology allows the system to be sensitive to changes that occur over more than one wafer, but also provides the system with robustness over metrology failures or situations similar to the first wafer effect.
- the model can be used to calculate an optimal set of recipe parameters in order to deposit a uniform film to a desired thickness.
- a prediction for region-averaged film thickness can be calculated given the deposition time and any other variables that are measured or varied.
- An exemplary optimization method which can be used in determining an updated model (based on the differences between measured and predicted values for a target output) for determining an updated deposition recipe, solves the equation: min x ⁇ ⁇ f ⁇ ( y sp , g ⁇ ( x ) ) ( 6 ) where x is a vector of recipe parameters and other processing parameters corresponding to the deposition recipe; g(x) is the model for the SACVD process which predicts the film properties based on a recipe and measurements related to tool state; y sp is a vector of the desired average region film thicknesses and/or other controlled film properties; and ⁇ (y sp ,g(x)) is some function which is meant to compensate for the deviation between the model predictions g(x) and the desired values y sp .
- the updated model is then used to determine an updated deposition recipe.
- the optimization method suggests that the model need not correct for 100% of the deviation from predicted values.
- a function may also be used, as contemplated by one or more embodiments of the present invention, to reflect uncertainty in the measured or calculated parameters, or to “damp” the effect of changing recipe parameters too quickly or to too great an extent. It is possible, for example, that without this “damping” effect the controller overcompensates for the measured deviations thereby necessitating another adjustment to react to the overcompensation. This leads to oscillations that may take several runs before the final, optimized conditions are realized.
- the post-deposition film thickness is measured and the difference between the predicted thickness and the final (i.e., actual) thickness is determined.
- Other controlled film properties are measured, as needed by the model.
- the film property is measured on a lot-to-lot basis.
- dopant concentration in doped silica glass can be measured on a lot-to lot basis since it is often difficult to determine dopant level in-line.
- the reliability of the data is assessed before the data is used in updating the model.
- the error in prediction also known as a bias
- the process of linearly updating a model with bias terms based upon the difference between a model prediction and an actual measurement is part of at least some feedback control in one or more embodiments of the present invention.
- an updated recipe can be calculated to optimize the available recipe parameters and to drive the predictions to a target value.
- the recipe parameters are changed such that the film thickness is made constant even though the deposition rate may be varying.
- a methodology that automatically changes the recipe to achieve consistent film thickness not only improves the consistency of the resultant film thickness, but also improves the productivity of the tool, since the system is subject to less frequent down time for reconditioning. This consistent film thickness then improves the yield of the resultant product.
- Process model development and optimization are carried out with reference to a specific deposition system. That is, conditions that effect the thin film characteristics are specific to the type of thin film being deposited and the tool used for deposition. It is recognized that many other films are and can be deposited using SACVD, and that models for their deposition can be similarly developed using the methodology and guidelines set forth herein. In one or more embodiments of the present invention, it is contemplated that a separate model (or at least a supplement to a composite model) is created for each thin film that is deposited. Alternatively, a model may be developed in reference to a previously developed model. This model may be product specific and take the original model and scale it based upon the differences between the products.
- FIG. 5 An example of the use of an initial model developed as described herein above to control the run-to-run average thickness and the thickness uniformity of the deposition process and to provide a feedback loop for updating the deposition recipe is shown schematically in FIG. 5 .
- one or more wafers is processed according to a first deposition recipe.
- the actual number of wafers depends on the complexity of the model and can be about 10, or as many as 20-30 or more.
- a thickness measurement is taken across the deposited film to obtain a film thickness profile, which is compared to the predicted film thickness profile calculated by the model. If the measured film thickness profile indicates deviation from the predicted results, those deviations are used to update the model to better reflect the behavior of the processing tool.
- the updated model is then used in a feedback loop to progressively match the behavior of the processing tool and to optimize the recipe so as to improve or maintain within wafer film thickness uniformity.
- initial processing conditions e.g., an initial tool state and initial wafer state
- the initial conditions may be determined empirically or by using the processing model of one or more embodiments of the present invention. If a processing model is used, a controller can use this model to calculate step times and processing parameters (i.e., to set the recipe for one or more incoming wafers) to deposit a film having a target (in some cases, a flat) profile on an incoming profile with a desired thickness as shown in step 510 . Thin films are deposited according to the initial deposition recipe in the SACVD tool at step 520 .
- the thickness of the deposited film is measured and deviation from the predicted thickness is determined in step 530 .
- step 540 it is determined whether the deviation between the predicted and observed behavior exceeds an established tolerance. If the deviation is within acceptable ranges, no changes are made to the model and the recipe is unchanged (step 550 ). If the deviation is outside acceptable limits, then this information is marked to trigger a change in the model as described in step 560 and this information is fed back to the model in step 570 and thus into the controller where the deposition recipe is optimized according to an updated model that takes the deviation from the predicted value into consideration.
- the deposition step can be repeated and further updates of the deposition recipe are possible.
- the process variables that are measured on-line are updated in the model based upon the error between the prediction and the actual measurement.
- one or more embodiments of the present invention contemplate that both uniformity and thickness are measured on-line and are used for updating the process model.
- Other controlled film properties can be measured on-line or off-line. In some cases these measurements would be performed on a lot-to-lot basis. That is, upon completion of the lot (usually 25 wafers) the wafers are brought to an external metrology tool where several wafers of the lot are measured.
- film properties e.g., stress and refractive index
- output constraints are handled in model predictive control.
- the use of output constraints in mode predictive control can be seen in the following optimization relationship: min x ⁇ ⁇ f ⁇ ( y sp , g ⁇ ( x ) ) ⁇ ⁇ s . t . ⁇ h ⁇ ( x ) ⁇ 0 , ( 8 ) where h(x) is some constraint that is placed on the prediction of an unmeasured output.
- output constraints for the SACVD tool are applied to control the prediction of stress and refractive index.
- This optimization formulation constrains the prediction of the model to be within some limit, or set of limits, while still finding recipe parameters which yield the desired thickness and uniformity. Thus, as long as the recipe parameters are within stated maximum and minimum values, it is assumed that constrained output values are within allowable maximum and minimum values.
- a feedback control methodology combines the chambers into a single model using the average of the tool states for each of the chambers.
- the single model would use the feedback approach described above to apportion the bias adjustment across the different chambers in some predetermined way.
- the performance of one tool can have a strong effect on the performance of subsequent tools. Accordingly, the performance of subsequent tools may be optimized by adjusting the performance of previous tools.
- the standard way of performing the task is to deposit a film that has the most uniform film possible. Then, the ILD CMP is tasked with removing a certain amount of this film with as uniform a removal rate as possible.
- the CMP removal profile is not as uniform as the deposition profile from the CVD tool.
- the shortcomings of the CMP tool can be addressed by providing an incoming profile which alleviates the resulting non-uniformities caused by the CMP tool.
- a feedback control scheme uses the final thickness measurements to distribute feedback individually to all of the chambers. Because each chamber can be can be treated individually, the tool state, i.e., cleaning frequency and idle time, can be included in the model and feedback can be specific to the chamber and deposition recipe. This feedback control scheme is particularly useful when different deposition recipes are being carried out in each chamber or when drift varies between chambers.
- the ability to separately model each chamber provides a greater of degree processing flexibility, since it allows one to change the processing recipe in one chamber (perhaps because film properties are drifting) while keeping the processing recipe at the remaining chamber unchanged (perhaps where film properties are within target ranges). When changes to the processing recipe are made to only one chamber, chamber-specific processing parameters are adjusted.
- Feedback and feedforward control algorithms are constructed for use in the above control process based on the above models using various methods.
- the algorithms may be used to optimize parameters using various methods, such as recursive parameter estimation.
- Recursive parameter estimation is used in situations such as these, where it is desirable to update the model on line at the same time as the input-output data is received.
- Recursive parameter estimation is well suited for making decisions on line, such as adaptive control or adaptive predictions.
- the deposition recipe may be updated in discrete increments or steps defined in the algorithms of the model. Also, in one or more embodiments of the present invention, the updated recipes may be determined by interpolation to the appropriate parameters.
- Additional apparatus utilized to implement the feedforward and feedback loop include tools for measuring a film property, e.g., a film thickness measurement (metrology) tool to provide thickness data needed to calculate film deposition rate.
- the tool may be positioned relative to the SACVD apparatus so as to provide in-line measurements, or it may be located remote from the apparatus.
- the tool may use optical, electrical, acoustic or mechanical measurement methods.
- a suitable thickness measurement device is available from Nanometrics (Milpitas, Calif.) or Nova Measuring Instruments (Phoenix, Ariz.).
- Other tools may be integrated into the system for the measurement of film properties such as trench depth, dopant concentration, refractive index, or any other measurable film property that is modeled and controlled. The measurement is made wafer-to-wafer or lot-to-lot and may be provide in-line or off-line measurements.
- a computer may be utilized to calculate the optimal film deposition recipe based upon the measured film thickness and calculated deposition rate, employing the models and algorithms provided herein.
- a suitable integrated controller iAPC integrated advanced process control
- Applied Materials sold by the manufacturer of the product.
- iAPC integrated advanced process control
- a bus 656 serves as the main information highway interconnecting the other components of system 611 .
- CPU 658 is the central processing unit of the system, performing calculations and logic operations required to execute the processes of embodiments of the present invention as well as other programs.
- Read only memory (ROM) 660 and random access memory (RAM) 662 constitute the main memory of the system.
- Disk controller 664 interfaces one or more disk drives to the system bus 656 . These disk drives are, for example, floppy disk drives 670 , or CD ROM or DVD (digital video disks) drives 666 , or internal or external hard drives 668 . These various disk drives and disk controllers are optional devices.
- a display interface 672 interfaces display 648 and permits information from the bus 656 to be displayed on display 648 .
- Display 648 can be used in displaying a graphical user interface.
- Communications with external devices such as the other components of the system described above can occur utilizing, for example, communication port 674 .
- Optical fibers and/or electrical cables and/or conductors and/or optical communication e.g., infrared, and the like
- wireless communication e.g., radio frequency (RF), and the like
- Peripheral interface 654 interfaces the keyboard 650 and mouse 652 , permitting input data to be transmitted to bus 656 .
- system 611 also optionally includes an infrared transmitter and/or infrared receiver.
- Infrared transmitters are optionally utilized when the computer system is used in conjunction with one or more of the processing components/stations that transmits/receives data via infrared signal transmission.
- the computer system may also optionally use a low power radio transmitter 680 and/or a low power radio receiver 682 .
- the low power radio transmitter transmits the signal for reception by components of the production process, and receives signals from the components via the low power radio receiver.
- the low power radio transmitter and/or receiver are standard devices in industry.
- system 611 in FIG. 6 is illustrated having a single processor, a single hard disk drive and a single local memory, system 611 is optionally suitably equipped with any multitude or combination of processors or storage devices.
- system 611 may be replaced by, or combined with, any suitable processing system operative in accordance with the principles of embodiments of the present invention, including sophisticated calculators, and hand-held, laptop/notebook, mini, mainframe and super computers, as well as processing system network combinations of the same.
- FIG. 7 is an illustration of an exemplary computer readable memory medium 784 utilizable for storing computer readable code or instructions.
- medium 784 may be used with disk drives illustrated in FIG. 6 .
- memory media such as floppy disks, or a CD ROM, or a digital videodisk will contain, for example, a multi-byte locale for a single byte language and the program information for controlling the above system to enable the computer to perform the functions described herein.
- ROM 660 and/or RAM 662 illustrated in FIG. 6 can also be used to store the program information that is used to instruct the central processing unit 658 to perform the operations associated with the instant processes.
- suitable computer readable media for storing information include magnetic, electronic, or optical (including holographic) storage, some combination thereof, etc.
- the medium can be in the form of a transmission (e.g., digital or propagated signals).
Abstract
A method of film deposition in a sub-atmospheric chemical vapor deposition (CVD) process includes (a) providing a model for sub-atmospheric CVD deposition of a film that identifies one or more film properties of the film and at least one deposition model variable that correlates with the one or more film properties; (b) depositing a film onto a wafer using a first deposition recipe comprising at least one deposition recipe parameter that corresponds to the at least one deposition variable; (c) measuring a film property of at least one of said one or more film properties for the deposited film of step (b); (d) calculating an updated deposition model based upon the measured film property of step (c) and the model of step (a); and (e) calculating an updated deposition recipe based upon the updated model of step (d) to maintain a target film property. The method can be used to provide feedback to a plurality of deposition chambers or to control a film property other than film thickness.
Description
- This application claims priority under 35 U.S.C. § 119(e) from provisional application Ser. No. 60/298,878 filed Jun. 19, 2001, which is incorporated by reference.
- This application claims priority under 35 U.S.C. § 119(e) from provisional application Ser. No. 60/349,576 filed Oct. 29, 2001, which is incorporated by reference.
- This application claims priority under 35 U.S.C. § 119(e) from provisional application Ser. No. 60/366,698, filed Mar. 21, 2002, which is incorporated by reference.
- This application is a divisional application of and claims priority from co-pending application Ser. No. 10/174,377, filed on Jun. 18, 2002 and entitled “Feedback Control of Plasma-Enhanced Chemical Vapor Deposition Process,” which is related to co-pending application filed on even date herewith and entitled “Feedback Control of Plasma-Enhanced Chemical Vapor Deposition Process,” which is incorporated by reference.
- The present invention generally relates to the process control of thin film deposition using sub-atmospheric chemical vapor deposition (SACVD) and more particularly to a method, medium and apparatus for providing feedback control of the SACVD deposition process.
- Sub-atmospheric chemical vapor deposition is used in semiconductor manufacturing to deposit thin films on substrates, for example, to deposit a silicon dioxide film on a silicon wafer. One use of sub-atmospheric CVD is in the deposition of pre-metal dielectrics (PMD). Sub-atmospheric CVD has a longer processing time than other forms of chemical vapor deposition, however, it has a much greater capability to fill trenches that are etched into wafers with very small dimensions. In these and other processes, the deposited film properties, i.e., film thickness, chemical homogeneity, and optical and mechanical properties, are important to the final device properties.
- In most applications, a layer is deposited over existing features on a device. The excess coating is removed, or the variation in the coating is reduced in a subsequent chemical-mechanical deposition (CMP) step. The deposited film may also have features that are created on the film using a lithography process, followed by an etch process. Thin film deposition is an inherently complex process, thereby making it hard to simultaneously control film characteristics, such as optical and electrical properties, stresses in the film, etc., while maintaining uniform film thickness. Thin film deposition processes typically “drift” over time, causing the deposited film to deviate significantly from target values. Specifically, sub-atmospheric chemical vapor deposition introduces both radial and azimuthal thickness non-uniformity, both within and among wafers. While film thickness non-uniformity can be addressed in subsequent processing steps, the greater the deposition-induced non-uniformity, the more difficult it is to achieve within-wafer thickness uniformity in subsequent steps.
- As microelectronics device feature sizes continue to shrink, it is necessary to have tighter controls in fabrication to maintain high yields. The semiconductor industry has developed run-to-run control of the various processing steps in a semiconductor fabrication process in order to reduce over process output variation from target. In run-to-run control, a product recipe with respect to a particular process is modified between machine runs so as to minimize process drift, shift, and variability. Post-process measurements are made periodically and are used along with empirical process models and drift compensation techniques to suggest new equipment settings for the next run. The development of feedback control has been largely empirical, based upon experimentally observed correlations between input and output measurements.
- There has been some investigation into feedback control of plasma etch and deposition processes, both experimental and theoretical. Implementation of process control in these operations has been limited due to unavailability of suitable integrated metrology tools, limited process understanding and non-automated operational practices. Improvements in advanced process control and reduction of run-to-run variability in a sub-atmospheric chemical vapor deposition process are thus desired.
- The present invention relates to a method, apparatus and medium for process control of sub-atmospheric chemical vapor deposition of a film onto a surface of a substrate, for example, a semiconductor wafer, in order to provide predetermined desirable film properties and improve wafer-to-wafer and within-wafer uniformity of film properties. The present invention uses a model (which can be implemented as a single model or multiple models) of the film deposition process to predict film deposition rate, film thickness uniformity and/or other film properties across the wafer surface. Deviations from the predicted outcome are used to update the model and set new deposition recipe parameters, which feed back into the process to enhance process results.
- The use of multiple wafer regions in the deposition model that defines the deposited film (as contemplated by one or more embodiments of the present invention) provides greater control over the cross-film thickness. Furthermore, the methods, apparatus and mediums of the present invention (in one or more embodiments thereof) provide a model that distinguishes between depositions in different deposition chambers of the tool and between deposition parameters that are independently or commonly controlled for each chamber, thereby providing a better approximation of the tool behavior of each chamber. The methods, apparatus and mediums of the present invention (in one or more embodiments thereof also provide a model that defines the relationship between the deposition model variables and film properties other than film thickness, allowing control of the chemical, optical and/or material properties of the thin film. In addition, the methods, apparatus and mediums of the present invention (in one or more embodiments thereof) provide models that better approximate tool behavior by accounting for effects such as tool idle time, the effect of earlier-processed wafers on the current wafer, or the reliability of a value for a measured film quality. These and other aspects of the present invention allow for better estimation of tool behavior and the prediction of optimal deposition recipes for achieving a target output, thus overcoming deficiencies of the conventional technology.
- In one aspect of the present invention, a method of film deposition in a sub-atmospheric chemical vapor deposition (CVD) process includes:
- a) providing a model for sub-atmospheric CVD deposition of a film that identifies one or more film properties of the film and at least one deposition model variable that correlates with the one or more film properties;
- b) depositing a film onto a wafer using a first deposition recipe comprising at least one deposition recipe parameter that corresponds to the at least one deposition variable;
- c) measuring a film property of at least one of said one or more film properties for the deposited film of step (b);
- d) calculating an updated deposition model based upon the measured film property of step (c) and the model of step (a); and
- e) calculating an updated deposition recipe based upon the updated model of step (d) to maintain a target film property.
- By “deposition recipe” it is meant a set of process characteristics or parameters used to deposit a film in a deposition process. One or more of the recipe parameters are used or varied to control or influence the outcome of the deposition process. A recipe parameter corresponds or maps to a deposition model variable when it is a value selected for the deposition variable.
- In one or more embodiments of the present invention, the step of providing a model includes:
- (f) depositing a film in a sub-atmospheric CVD process on at least one wafer in a deposition step using a deposition recipe comprising at least one deposition recipe parameter that corresponds to a deposition model variable;
- (g) measuring a film property for each of the at least one wafers after the deposition of step (f);
- (h) recording the deposition parameter and measured film property for each of the at least one wafers on a recordable medium; and
- (i) fitting the data to a linear or non-linear curve that establishes a relationship between the film property of a region of the film and the deposition model variable.
- In another aspect of the invention, a sub-atmospheric chemical vapor deposition tool for deposition of a film includes a sub-atmospheric chemical vapor deposition apparatus comprising a pressure chamber, a vacuum system, means for heating a wafer and a gas delivery system; controlling means capable of controlling an operating (recipe) parameter of the deposition process; and a controller operatively coupled to the controlling means, the controller operating the controlling means to adjust the operating parameter of the deposition process as a function of a model for a film property. The model includes a deposition model for sub-atmospheric CVD deposition of a film that identifies one or more film properties of the film and at least one deposition model variable that correlates with the one or more film properties.
- In another aspect of the invention, a computer readable medium including instructions being executed by a computer, the instructions including a computer-implemented software application for a sub-atmospheric chemical vapor deposition process is provided. The instructions for implementing the process include a) receiving data from a sub-atmospheric chemical vapor deposition tool relating to the film property of at least one wafer processed in the sub-atmospheric chemical vapor deposition process; and b) calculating, from the data of step (a), an updated deposition model, wherein the updated deposition model is calculated by determining the difference between an output of a film deposition model and the data of step (a).
- In still another aspect of the invention, a sub-atmospheric chemical deposition tool includes:
- a) modeling means for identifying one or more film properties of a film and at least one deposition model variable that correlates with the one or more film properties in a sub-atmospheric CVD deposition process;
- b) means for depositing a film onto a wafer using a first deposition recipe comprising at least one deposition recipe parameter that corresponds to the at least one deposition variable;
- c) means for measuring a film property of at least one of said one or more film properties for the deposited film of step (b);
- d) means for calculating an updated deposition model based upon the measured film property of step (c) and the model of step (a); and
- e) means for calculating an updated deposition recipe based upon the updated model of step (d) to maintain a target film property.
- Various objects, features, and advantages of the present invention can be more fully appreciated with reference to the following detailed description of the invention when considered in connection with the following figures, in which like reference numerals identify like elements. The following drawings are for the purpose of illustration only and are not intended to be limiting of the invention, the scope of which is set forth in the claims that follow.
-
FIG. 1A is a schematic view of a sub-atmospheric chemical vapor deposition apparatus, andFIG. 1B is an enlarged view of the reaction chamber of the apparatus, for use in one or more embodiments of the present invention. -
FIG. 2 is a flow diagram generally illustrating model development. -
FIG. 3 is a schematic illustration showing the relationship between input and output variables in one or more embodiments of the present invention. -
FIG. 4 schematic illustration of a wafer showing regions defined for thickness profile model. -
FIG. 5 is a flow diagram of the feedback loop used in a SACVD deposition operation, as contemplated by one or more embodiments of the present invention. -
FIG. 6 is a block diagram of a computer system that includes tool representation and access control for use in one or more embodiments of the present invention. -
FIG. 7 is an illustration of a floppy disk that may store various portions of the software according to one or more embodiments of the present invention. - Sub-atmospheric chemical vapor deposition (SACVD) has been widely used in microelectronics fabrication to deposit films, such as a SiO2, at low temperatures. In the SACVD process, reactive gases are introduced into the reaction chamber at sub-atmospheric pressures. The reactive gases flow over a heated wafer (e.g., 300-700° C.) where the desired chemical reactions occur and the product is deposited.
FIG. 1A is a schematic illustration of anexemplary SACVD system 100. Thesystem 100 includes achamber 120, avacuum system 130, awafer holder 160 for supportingwafer 165, a gas orfluid delivery system 150 for introduction of reactive gases and aheater 168 for heating thewafer holder 160. Reactive gases are introduced into areaction chamber 120 throughinlet 125 of thegas delivery system 150. In order to promote a uniform distribution, the reactive gases typically are introduced into the chamber at a source positioned opposite or a distance from thewafer 165. Theheated wafer holder 160 may be rotated for further uniformity of deposition, as indicated byarrow 170. The gas delivery system may include heating and cooling means (not shown) for maintaining a constant gas and chamber temperature. Wafers are transferred into and out ofchamber 120 by a robot blade (not shown) through an insertion/removal opening (not shown) in the side ofchamber 120. Two or more chambers may be connected. In at least some SACVD systems, the chambers share reactive gases, but have individual wafer temperature and showerhead controls. -
FIG. 1B is an enlarged view of the SACVD reaction chamber illustrating an exemplary delivery system for the reactive gases used in the SACVD process. The gases are introduced throughinlet 125 into a heated gas distribution head (showerhead) 175, which hasoutlets 180 at spaced intervals. As shown byarrows 185 inFIG. 1B , the reactive gases then flow over the heated wafer, where they are deposited as a thin film. The elevated temperatures of the wafer promote reaction of the reactive gases and deposition of the product film. - The term “target output” represents the desired processing outcome of the sub-atmospheric chemical vapor deposition process. Some tolerance is built into the profile, so that the profile includes the target value and acceptable standard deviations therefrom. Film thicknesses or other measured film property falling within the standard deviation would not require updating of the deposition recipe. Thus, use of the term “target output” includes the target value and the standard deviation therefrom.
- The term “wafer” is used in a general sense to include any substantially planar object onto which a film is deposited. Wafers include monolith structures or structures having one or more layers, thin films or other features already deposited thereon. “Thin film” and “film” may be used interchangeably, unless otherwise indicated.
- An exemplary SACVD deposition system includes two or more chambers in which deposition of material occurs. The chambers can carry out the same process or different processes; some CVD systems are based on a series of operations, while some use parallel processing schemes. The SACVD chambers may thus process wafers in parallel, that is, each deposition chamber deposits a film on a wafer at the same time. The deposition recipe for each chamber may be the same or different. In one or more embodiments of the present invention, the chambers share some processing parameters while others are independently controlled. For example, gas flow of reactant gases is common to both (or all), but substrate temperature and showerhead spacing are independently controlled in each chamber.
- In one or more embodiments, the process uses an SACVD system having twin chambers, which share the same gas distribution and thus have the same gas flow rates but which can have different heater temperatures and spacings (distance between the shower head and the substrate). In one or more embodiments, the SACVD chamber has three sets of twin chambers for a total of six chambers, such as the Producer™ available from Applied Materials in Santa Clara, Calif. The present invention is described with reference to SACVD, however is it readily apparent that other low pressure CVD processes are also contemplated. The present invention also is applicable to CVD systems using either a batch process or inline process. An inline process refers to a process in which all wafers going through a system go through a sequence of steps and those steps may be carried out in different chambers, whereas a batch process refers to a process in which a wafer goes to any one of the chambers in the system, where the entire deposition is then carried out.
- The SACVD processes described above may be modeled to provide a format for improving the deposition process. The process model should accurately predict the thin film characteristics (output) for a given set of input conditions. The run-to-run film characteristics are improved or maintained by adjusting the deposition model during sub-atmospheric chemical vapor deposition to correct for unmodeled effects or to correct for drift in the deposition process conditions. Run-to-run control can be defined as wafer-to-wafer or lot-to-lot, depending upon the processes being controlled and the available methods for monitoring output.
- According to one or more embodiments of the present invention, an initial model is developed based upon knowledge of the film deposition process, as is shown in a flow diagram (
FIG. 2 ). An initial understanding of the system is acquired in step 200, which is used to design and run a design of experiments (DOE) ofstep 210. The DOE desirably is designed to establish the relationship between or among variables that have a strong and predictable impact on the processing output one wishes to control, e.g., film thickness or some other film property. The DOE provides data relating to process parameters and process outcome, which is then loaded to the advanced process control system instep 220. The advanced process control system may be a controller or computer that uses the data to create and update the model. The model can be represented as raw data that reflects the system, or it can be represented by equations, for example multiple input-multiple output linear, quadratic and general non-linear equations, which describe the relationship among the variables of the system. Process requirements such as output targets and process specification are determined by the user instep 225, which are combined with the DOE data to generate a working model instep 230. - In developing the model, film properties of
interest 302 are identified and outcome determinativeprocessing model variables 304 are selected for the model, as illustrated schematically inFIG. 3 . The specific film properties of interest may vary depending upon the type of film deposited, and thus the film properties ofinterest 302 and processingmodel variables 304 ofFIG. 3 are shown by way of example. - Regardless of the type of film substance for which a model is created, to obtain DOE data, an experiment is run which perturbs or varies the values of the processing variables of interest about a center point (or median value). One or more processing variables can be varied. The film properties of interest in the resultant film are measured for each combination of inputs. Data can be acquired empirically, by carrying out a series of experiments over a range of values of the processing variables. The data is fit to the appropriate curve (linear or non-linear) to define the model.
- Undoped silica glass (USG) is commonly deposited by SACVD and a model development is discussed below with specific reference to USG, although it is readily apparent that the methodology can be used to develop models for any other SACVD film deposition process. In particular, SACVD is well suited for the deposition of doped silica glass, such as boron- and phosphorous-doped silica.
- In one or more embodiments of the present invention, the film properties of interest for USG film include one or more of film thickness, film thickness uniformity, stress, wet-etch rate ratio (WERR), and refractive index (RI). In one or more embodiments of the present invention, the model is developed for two or more film properties, for example, the model describes the effect of process variables on film thickness (deposition rate) and film stress, or on film thickness and refractive index. Process variables for deposition of the USG film include one or more of ozone flow rate, reactive gas flow rate, carrier gas flow rate, chamber pressure, wafer temperature, and showerhead spacing (distance) from the substrate, as well as total deposition time. Deposition time is controlled by the time of reactive gas flow. For the deposition of USG films, reactive gases typically include ozone (O3), oxygen (O2), and tetraethylorthosilicate (TEOS) or, alternatively, silane (SiH4).
- Models for other film deposition systems can be similarly developed using the processing variables and film properties specific to those films. For example, when doped silica films are modeled and controlled in a manner similar to that described for USG films, dopant concentration is included in the model.
- In one or more embodiments of the present invention, a sub-atmospheric CVD process for deposition of boron-phosphosilicate glass is modeled. The level of dopant concentration is controlled by adjusting the flow rates of triethylborate (TEB) for boron and triethylphosphate (TEPO) for phosphorus. Gas flow rates for these dopant gases control the dopant level of boron and phosphorus incorporated into the final film. Processing variables include total deposition time, wafer temperature, ozone flow rate, TEOS, TEB, and TEPO gas flow rates, oxygen flow rate, nitrogen flow rate, chamber pressure and spacing. Process outputs (film properties) include film thickness and thickness uniformity, WERR, refractive index, stress, weight percent boron and weight percent phosphorus. An additional feature of the deposition of doped silica glass is that a change in spacing distance in order to individually control film thickness in the individual chambers also induces a change in the dopant concentration of the deposited film, i.e., the factors are coupled. Thus, if one determines that the two chambers do not produce matched film thicknesses, merely changing the spacings may result in films for which dopant specifications are not met unless TEB and TEPO flow rates are also adjusted. The model accounts for the relatedness between spacing and dopant concentration. The resultant interactions between the inputs and outputs requires the solution of an optimization problem for both input variables to determine the recipe which provides output predictions (targeted output) which best match the desired values of all film characteristics. Optimization is discussed in greater detail below.
- On the Producer™ system from Applied Materials, gas distribution (e.g., gas composition and flow rate) is common to both twin chambers of the SACVD system. Deposition time can be controlled individually for each chamber by controlling the wafer temperature. In one or more embodiments of the present invention, the model can distinguish between the two types of processing variables (individual and common) and account for them accordingly. As discussed herein below, the model permits simultaneous optimization of more than one variable.
- In one or more embodiments of the present invention, the model defines two or more different film property, e.g., film thickness, regions of the wafer. As is shown in
FIG. 4 , a wafer is divided intoannular regions 401 through 405 of varying width and area. The number, size and location of the regions also can vary and may be selected based upon any number of factors, including the variability or uniformity of the film property in a given region of the wafer. In one or more embodiments of the present invention, it is desirable that the film property in any given region be substantially uniform, particularly in those cases where, for example, a number of wafer thickness measurements within a region are averaged to define the region-averaged thickness profile. Thus, at the edges of the wafer where edge effects can be dramatic, narrow regions encompassing only the outer portions of the wafer may be selected. Near the center of the wafer where deposition effects may be subtler, a larger region may be defined. In one or more embodiments of the present invention, the regions are defined such that all azimuthal variation is averaged out. In one or more embodiments of the present invention, the use of an input value is contemplated to correct and account for azimuthal variation. Film property measurements taken within a region of the wafer are averaged to give the average film property value for that region. - By way of example (with reference to film thickness), the five wafer regions of
FIG. 4 can be defined as shown in Table 1 for a wafer that is 95 mm in diameter.TABLE 1 Region 401 402 403 404 405 Radius, mm 5-40 40-60 60-80 80-92 92-95
With the regions defined as in Table 1, all thin film thickness measurement points with a radial distance from the wafer center greater than 5 mm, but less than 40 mm, are averaged together to give the thickness ofregion 401. The thicknesses for all other regions are similarly calculated, butregion 405 includes all points greater than 92 mm and up to and including 95 mm. Thus, a film is deposited by sub-atmospheric CVD and, based upon post-deposition thickness measurements and deposition time and/or other processing variables, a film thickness and deposition rate can be determined for each region. - In one or more embodiments of the present invention, a film property of interest is film thickness and one of the processing variables is deposition time. If the deposition time is manipulated through the time of reactive gas flow, and the gas flow is common to two chambers, then another variable can be used to account for differences in deposition rate among the chambers. Since there are separate heating sources for each chamber in the SACVD system, the substrate heating temperature and heating time in each chamber can be varied as a means for controlling final film thickness and as a means for adjusting differences in film thickness between deposition chambers. This provides the ability to control thin film final thickness even in the presence of differences in the chamber performances. Varying the spacing between the showerhead and the wafer also can control the film thickness, in this case, independently for each chamber. While film thickness is the measured output, it is appreciated that the information can be represented as a film deposition rate (film thickness per unit time) or as a film thickness profile (film thickness per unit area).
- While film thickness and thickness uniformity are typically the tool behaviors being modeled, models for other film properties, such as stress, WERR (a measurement of film density) and RI, can be developed by manipulating deposition time, spacing and/or other processing variables. Multiple models for different film properties can be developed and used to describe the deposition process.
- Once data from DOE runs are obtained, regression methods (or any suitable method) may be used to determine a model that obeys the behavior of the process within the range of inputs that were used in the experiments. In one or more embodiments of the present invention, the model for an i-chamber system is defined as shown in eq. (1),
Film_thicknessij =DR ij·time (1)
where i is the ith chamber of CVD tool, time is the deposition time, Film_thicknessij is the film thickness in region j of the wafer in chamber i, and DRij is the deposition rate for annular region j of chamber i, where no Einstein summation has been used for the indices. The model is determined for each region of the wafer and together the models define a film thickness profile across the wafer. Thus, the model can predict a film thickness profile by entering hypothetical parameters into the model equation. In use, a measured film thickness profile is used to further refine the model in order to obtain updated parameters and thus an updated process recipe. - The processing variable for a basic model is typically process time; however, additional deposition model variables can be included in the model. The relationship can be expressed generally as:
Q ij =g(x 1 , x 2 . . . . x n) (2a) - where Q is some film property in region j on a wafer in chamber i that is the result of a processing run; g( ) is some linear or nonlinear function of x1, x2 . . . . xn which are recipe parameters or tool state parameters that affect the resulting film property Q. If the film property of interest is thickness, the function g( ) represents the deposition rate as a function of recipe parameters or tool state parameters. The thickness for each region j of wafer in chamber i would then be derived by multiplying the deposition rate by the deposition time as shown below.
Film_thicknessij =g(x 1 ,x 2 , . . . ,x n)ij·time (2b) - Models including additional processing parameters are shown in eqs. (2c)-(2e).
Film_thicknessij=(c 1ij·spacingi +c 2ij ·O 3— flowi +c 3ij·TEOS_flow+c 4ij)·time (2c)
Stressi=(b 1i·spacingi +b 2i ·O 3— flowi +b 3i·TEOS_flow+b 4i) (2d)
RI i=(a 1i·spacingi +a 2i +O 3— flowi +a 3i·TEOS_flow+a 4i) (2e)
where c1ij through c4ij are the parameters which provide the contribution of the particular processing variable to the film thickness in region j for a wafer in the ith chamber; b1i through b4i are the parameters which provide the contribution of the particular processing variable to the film stress for the wafer in the ith chamber, and a1i through a4i are the parameters which provide the contribution of the particular processing variable to the refractive index of the film to the wafer in the ith chamber. In one or more embodiments of the present invention, the film property, e.g., film thickness, is modeled in defined annular regions on the wafer. In one or more embodiments of the present invention, film properties, e.g., stress and refractive index, are modeled for the entire film. The process variables of equations (2c)-(2e) are exemplary; other process variables can be used to define tool behavior with respect to the noted film properties. - The exemplary models provided above include common process variables that affect both chambers and independent process variables that affect each chamber individually. The models can describe tool behavior in one or more regions of the film corresponding to different annular regions of the wafer. This allows the controller to perform controls on multiple film regions simultaneously. This multiple region control provides control of within wafer uniformity. Thus, the model can account for an unlimited number of processing variables and permits their optimization while taking into consideration whether they affect all or only individual deposition chambers, or whether they affect different regions of the film differently.
- In one or more embodiments of the present invention, the model may be further augmented to include the effect of the tool state. The tool state takes into consideration the effect of wear and use on the tool, here, a SACVD apparatus. This function is typically expressed as a scaling factor that takes the tool state into consideration. Factors that can affect tool state include idle time (time since last film deposition) and frequency of cleaning (or number of wafers deposited between cleaning or other shut down operation, such as preventative maintenance).
- The first wafers coated after the tool has been idle typically have a different deposition rate than subsequently coated wafers, a situation known as the “first wafer effect”. In one or more embodiments of the present invention, the model is further modified to account for the effect of tool idle time on film deposition rate. The model accounts for such variations on deposition rate by monitoring the idle time of the system and adjusting the deposition rate accordingly. Thus, a statement is placed within the model, which reflects the effect of idle time on processing, such as:
If (idle time)>5 min
Deposition time=x; (3)
Else
Deposition time=y.
This captures the idle time dependence within the model. In one or more embodiments of the present invention, the model has a more gradual change from one deposition rate to another and is given by the following equation:
DR idle =DR no— idle·(d 1·tan−1(d 2·idle_time+d 3)+d 4) (4)
where DRidle is the deposition rate with the effect of idle time, DRno— idle is the deposition rate when there is no idle time, d1 and d4 determines the maximum change in deposition rate which is caused by idle time, d2 determines the rate at which this change occurs, and d3 determines at what idle time the change in deposition rate begins to be significant. In the general case, the effect of idle time on deposition rate can be given by the following equation:
DR idle=xƒ(DR no— idle,idle_time,x 1 X 2 , . . . x n) (5)
where ƒ( ) is some function which describes how the deposition rate is a function of the deposition rate when there is no idle time, the idle time, and other past or current process parameters related to the controller, tool state, or wafer state, here denoted by x1,x2, . . . , xn. - The “first wafer effect” is a member of a broader class of events, in which a single wafer measurement differs significantly from previous and subsequent measurements run on a specific tool or resource and, as such, does not represent an accurate representation of the process tool during normal operation. Accordingly, when these measurements are used in a feedback control system, this erroneous information may cause the system performance to deteriorate. These sudden changes can be the result of abrupt changes in the processing equipment, such as starting up the process after the system has been idle for a time, or it can be due to processing errors, such as an error in the metrology system. Since these sudden changes do not accurately reflect the subsequent behavior of the process tool, a methodology is used to evaluate the reliability of the measurement.
- In one or more embodiments of the present invention, a methodology is provided within the model for assessing the reliability of the measurement. The methodology (i) estimates the intrinsic variation in the process, (ii) determines when a recent measurement is outside normal operating variation and, if so, marks the data as suspicious, and (iii) ignores the data until a trend is determined from subsequent data. This methodology allows the system to be sensitive to changes that occur over more than one wafer, but also provides the system with robustness over metrology failures or situations similar to the first wafer effect.
- Once a process model is available, the model can be used to calculate an optimal set of recipe parameters in order to deposit a uniform film to a desired thickness. Conversely, using models such as those just described, a prediction for region-averaged film thickness can be calculated given the deposition time and any other variables that are measured or varied. By individually optimizing for the regions j of the wafer, greater control over the total surface is attainable. Thus, greater within wafer film uniformity is achieved.
- An exemplary optimization method, which can be used in determining an updated model (based on the differences between measured and predicted values for a target output) for determining an updated deposition recipe, solves the equation:
where x is a vector of recipe parameters and other processing parameters corresponding to the deposition recipe; g(x) is the model for the SACVD process which predicts the film properties based on a recipe and measurements related to tool state; ysp is a vector of the desired average region film thicknesses and/or other controlled film properties; and ƒ(ysp,g(x)) is some function which is meant to compensate for the deviation between the model predictions g(x) and the desired values ysp. The updated model is then used to determine an updated deposition recipe. - Thus, the optimization method suggests that the model need not correct for 100% of the deviation from predicted values. A function may also be used, as contemplated by one or more embodiments of the present invention, to reflect uncertainty in the measured or calculated parameters, or to “damp” the effect of changing recipe parameters too quickly or to too great an extent. It is possible, for example, that without this “damping” effect the controller overcompensates for the measured deviations thereby necessitating another adjustment to react to the overcompensation. This leads to oscillations that may take several runs before the final, optimized conditions are realized.
- Based upon this control method, the post-deposition film thickness is measured and the difference between the predicted thickness and the final (i.e., actual) thickness is determined. Other controlled film properties are measured, as needed by the model. In one or more embodiments of the present invention, the film property is measured on a lot-to-lot basis. For example, dopant concentration in doped silica glass can be measured on a lot-to lot basis since it is often difficult to determine dopant level in-line. In one or more embodiments of the present invention, the reliability of the data is assessed before the data is used in updating the model.
- The error in prediction, also known as a bias, can then be linearly added into the model such that the actual final thickness more closely matches the predicted (and typically targeted) final thickness. This bias is added to each region j of wafer in chamber i, which is modeled as is shown in the following equation:
Film_thicknessij =g(x 1 ,x 2 , . . . x n)ij·time+e ij (7)
where eij is the bias term, which arises due to the difference between the predicted and actual amount deposited for region j of wafer in chamber i. The process of linearly updating a model with bias terms based upon the difference between a model prediction and an actual measurement is part of at least some feedback control in one or more embodiments of the present invention. - Instead of (and/or, in addition to) use of the aforementioned bias, one or more embodiments of the present invention contemplate that an updated recipe can be calculated to optimize the available recipe parameters and to drive the predictions to a target value. The recipe parameters are changed such that the film thickness is made constant even though the deposition rate may be varying. A methodology that automatically changes the recipe to achieve consistent film thickness not only improves the consistency of the resultant film thickness, but also improves the productivity of the tool, since the system is subject to less frequent down time for reconditioning. This consistent film thickness then improves the yield of the resultant product.
- Process model development and optimization are carried out with reference to a specific deposition system. That is, conditions that effect the thin film characteristics are specific to the type of thin film being deposited and the tool used for deposition. It is recognized that many other films are and can be deposited using SACVD, and that models for their deposition can be similarly developed using the methodology and guidelines set forth herein. In one or more embodiments of the present invention, it is contemplated that a separate model (or at least a supplement to a composite model) is created for each thin film that is deposited. Alternatively, a model may be developed in reference to a previously developed model. This model may be product specific and take the original model and scale it based upon the differences between the products.
- An example of the use of an initial model developed as described herein above to control the run-to-run average thickness and the thickness uniformity of the deposition process and to provide a feedback loop for updating the deposition recipe is shown schematically in
FIG. 5 . Briefly, one or more wafers is processed according to a first deposition recipe. The actual number of wafers depends on the complexity of the model and can be about 10, or as many as 20-30 or more. A thickness measurement is taken across the deposited film to obtain a film thickness profile, which is compared to the predicted film thickness profile calculated by the model. If the measured film thickness profile indicates deviation from the predicted results, those deviations are used to update the model to better reflect the behavior of the processing tool. The updated model is then used in a feedback loop to progressively match the behavior of the processing tool and to optimize the recipe so as to improve or maintain within wafer film thickness uniformity. - According to the processing flow diagram in
FIG. 5 , initial processing conditions (e.g., an initial tool state and initial wafer state) are identified that will provide a desired film deposition profile instep 500. The initial conditions may be determined empirically or by using the processing model of one or more embodiments of the present invention. If a processing model is used, a controller can use this model to calculate step times and processing parameters (i.e., to set the recipe for one or more incoming wafers) to deposit a film having a target (in some cases, a flat) profile on an incoming profile with a desired thickness as shown instep 510. Thin films are deposited according to the initial deposition recipe in the SACVD tool atstep 520. The thickness of the deposited film is measured and deviation from the predicted thickness is determined instep 530. Instep 540 it is determined whether the deviation between the predicted and observed behavior exceeds an established tolerance. If the deviation is within acceptable ranges, no changes are made to the model and the recipe is unchanged (step 550). If the deviation is outside acceptable limits, then this information is marked to trigger a change in the model as described instep 560 and this information is fed back to the model instep 570 and thus into the controller where the deposition recipe is optimized according to an updated model that takes the deviation from the predicted value into consideration. The deposition step can be repeated and further updates of the deposition recipe are possible. - As is the case in most feedback systems, the process variables that are measured on-line (in this case with an integrated metrology unit on the tool) are updated in the model based upon the error between the prediction and the actual measurement. In the case of SACVD-processed films, one or more embodiments of the present invention contemplate that both uniformity and thickness are measured on-line and are used for updating the process model. Other controlled film properties can be measured on-line or off-line. In some cases these measurements would be performed on a lot-to-lot basis. That is, upon completion of the lot (usually 25 wafers) the wafers are brought to an external metrology tool where several wafers of the lot are measured.
- In one or more embodiments of the present invention, film properties, e.g., stress and refractive index, are not measured and are handled in much the same way output constraints are handled in model predictive control. The use of output constraints in mode predictive control can be seen in the following optimization relationship:
where h(x) is some constraint that is placed on the prediction of an unmeasured output. In one or more embodiments of the present invention, output constraints for the SACVD tool are applied to control the prediction of stress and refractive index. This optimization formulation constrains the prediction of the model to be within some limit, or set of limits, while still finding recipe parameters which yield the desired thickness and uniformity. Thus, as long as the recipe parameters are within stated maximum and minimum values, it is assumed that constrained output values are within allowable maximum and minimum values. - In one or more embodiments of the present invention, a feedback control methodology combines the chambers into a single model using the average of the tool states for each of the chambers. The single model would use the feedback approach described above to apportion the bias adjustment across the different chambers in some predetermined way.
- When multiple process tools perform in series, also known as being run within a module, the performance of one tool can have a strong effect on the performance of subsequent tools. Accordingly, the performance of subsequent tools may be optimized by adjusting the performance of previous tools. For the specific case of ILD CVD, the standard way of performing the task is to deposit a film that has the most uniform film possible. Then, the ILD CMP is tasked with removing a certain amount of this film with as uniform a removal rate as possible. Unfortunately, the CMP removal profile is not as uniform as the deposition profile from the CVD tool. However, by manipulating the profile which results from the CVD tool, the shortcomings of the CMP tool can be addressed by providing an incoming profile which alleviates the resulting non-uniformities caused by the CMP tool.
- Also, in one or more embodiments of the present invention, a feedback control scheme uses the final thickness measurements to distribute feedback individually to all of the chambers. Because each chamber can be can be treated individually, the tool state, i.e., cleaning frequency and idle time, can be included in the model and feedback can be specific to the chamber and deposition recipe. This feedback control scheme is particularly useful when different deposition recipes are being carried out in each chamber or when drift varies between chambers. The ability to separately model each chamber provides a greater of degree processing flexibility, since it allows one to change the processing recipe in one chamber (perhaps because film properties are drifting) while keeping the processing recipe at the remaining chamber unchanged (perhaps where film properties are within target ranges). When changes to the processing recipe are made to only one chamber, chamber-specific processing parameters are adjusted.
- Feedback and feedforward control algorithms are constructed for use in the above control process based on the above models using various methods. The algorithms may be used to optimize parameters using various methods, such as recursive parameter estimation. Recursive parameter estimation is used in situations such as these, where it is desirable to update the model on line at the same time as the input-output data is received. Recursive parameter estimation is well suited for making decisions on line, such as adaptive control or adaptive predictions. For more details about the algorithms and theories of identification, see Ljung L., System Identification—Theory for the User, Prentice Hall, Upper Saddle River, N.J. 2nd edition, 1999.
- In one or more embodiments of the present invention, the deposition recipe may be updated in discrete increments or steps defined in the algorithms of the model. Also, in one or more embodiments of the present invention, the updated recipes may be determined by interpolation to the appropriate parameters.
- Additional apparatus utilized to implement the feedforward and feedback loop include tools for measuring a film property, e.g., a film thickness measurement (metrology) tool to provide thickness data needed to calculate film deposition rate. The tool may be positioned relative to the SACVD apparatus so as to provide in-line measurements, or it may be located remote from the apparatus. The tool may use optical, electrical, acoustic or mechanical measurement methods. A suitable thickness measurement device is available from Nanometrics (Milpitas, Calif.) or Nova Measuring Instruments (Phoenix, Ariz.). Other tools may be integrated into the system for the measurement of film properties such as trench depth, dopant concentration, refractive index, or any other measurable film property that is modeled and controlled. The measurement is made wafer-to-wafer or lot-to-lot and may be provide in-line or off-line measurements.
- A computer may be utilized to calculate the optimal film deposition recipe based upon the measured film thickness and calculated deposition rate, employing the models and algorithms provided herein. A suitable integrated controller iAPC (integrated advanced process control) is available from Applied Materials (Santa Clara, Calif.).
- Various aspects of the present invention that can be controlled by a computer can be (and/or be controlled by) any number of control/computer entities, including the one shown in
FIG. 6 . Referring toFIG. 6 a bus 656 serves as the main information highway interconnecting the other components ofsystem 611.CPU 658 is the central processing unit of the system, performing calculations and logic operations required to execute the processes of embodiments of the present invention as well as other programs. Read only memory (ROM) 660 and random access memory (RAM) 662 constitute the main memory of the system.Disk controller 664 interfaces one or more disk drives to thesystem bus 656. These disk drives are, for example,floppy disk drives 670, or CD ROM or DVD (digital video disks) drives 666, or internal or externalhard drives 668. These various disk drives and disk controllers are optional devices. - A
display interface 672interfaces display 648 and permits information from thebus 656 to be displayed ondisplay 648.Display 648 can be used in displaying a graphical user interface. Communications with external devices such as the other components of the system described above can occur utilizing, for example,communication port 674. Optical fibers and/or electrical cables and/or conductors and/or optical communication (e.g., infrared, and the like) and/or wireless communication (e.g., radio frequency (RF), and the like) can be used as the transport medium between the external devices andcommunication port 674.Peripheral interface 654 interfaces thekeyboard 650 andmouse 652, permitting input data to be transmitted tobus 656. In addition to these components,system 611 also optionally includes an infrared transmitter and/or infrared receiver. Infrared transmitters are optionally utilized when the computer system is used in conjunction with one or more of the processing components/stations that transmits/receives data via infrared signal transmission. Instead of utilizing an infrared transmitter or infrared receiver, the computer system may also optionally use a lowpower radio transmitter 680 and/or a lowpower radio receiver 682. The low power radio transmitter transmits the signal for reception by components of the production process, and receives signals from the components via the low power radio receiver. The low power radio transmitter and/or receiver are standard devices in industry. - Although
system 611 inFIG. 6 is illustrated having a single processor, a single hard disk drive and a single local memory,system 611 is optionally suitably equipped with any multitude or combination of processors or storage devices. For example,system 611 may be replaced by, or combined with, any suitable processing system operative in accordance with the principles of embodiments of the present invention, including sophisticated calculators, and hand-held, laptop/notebook, mini, mainframe and super computers, as well as processing system network combinations of the same. -
FIG. 7 is an illustration of an exemplary computer readable memory medium 784 utilizable for storing computer readable code or instructions. As one example, medium 784 may be used with disk drives illustrated inFIG. 6 . Typically, memory media such as floppy disks, or a CD ROM, or a digital videodisk will contain, for example, a multi-byte locale for a single byte language and the program information for controlling the above system to enable the computer to perform the functions described herein. Alternatively,ROM 660 and/orRAM 662 illustrated inFIG. 6 can also be used to store the program information that is used to instruct thecentral processing unit 658 to perform the operations associated with the instant processes. Other examples of suitable computer readable media for storing information include magnetic, electronic, or optical (including holographic) storage, some combination thereof, etc. In addition, at least some embodiments of the present invention contemplate that the medium can be in the form of a transmission (e.g., digital or propagated signals). - In general, it should be emphasized that various components of embodiments of the present invention can be implemented in hardware, software or a combination thereof. In such embodiments, the various components and steps are implemented in hardware and/or software to perform the functions of the present invention. Any presently available or future developed computer software language and/or hardware components can be employed in such embodiments of the present invention. For example, at least some of the functionality mentioned above could be implemented using the C, C++, or any assembly language appropriate in view of the processor(s) being used. It could also be written in an interpretive environment such as Java and transported to multiple destinations to various users.
- Although various embodiments that incorporate the teachings of the present invention have been shown and described in detail herein, those skilled in the art can readily devise many other varied embodiments that incorporate these teachings. All references mentioned herein are incorporated by reference.
Claims (27)
1. A sub-atmospheric chemical vapor deposition tool for deposition of a film, comprising:
a sub-atmospheric chemical vapor deposition apparatus comprising a pressure chamber, a vacuum system, means for heating a wafer and a gas delivery system;
controlling means capable of controlling an operating parameter of the deposition process; and
a controller operatively coupled to the controlling means, the controller operating the controlling means to adjust the operating parameter of the deposition process as a function of a model for a film property, the model comprising:
a deposition model for sub-atmospheric CVD deposition of a film that identifies one or more film properties of the film and at least one deposition model variable that correlates with the one or more film properties.
2. The tool of claim 1 , wherein the model defines a plurality of regions on a wafer and identifies a deposition variable and a film property for each of at least two regions of the wafer.
3. The tool of claim 1 , wherein the operating parameter comprises a parameter selected from the group consisting of deposition time, wafer temperature, ozone flow rate, oxygen flow rate, reactive gas flow rate, carrier gas flow rate, dopant gas flow rate, chamber pressure and shower head spacing from the wafer.
4. The tool of claim 3 , wherein the film property is selected from the group consisting of film thickness, stress, refractive index, dopant concentration, and extinction coefficient.
5. The tool of claim 3 , wherein the model defines deposition of a plurality of films onto a plurality of wafers in a plurality of deposition chambers.
6. The tool of claim 5 , wherein the model provides for independent control of at least one operating parameter for each deposition chamber.
7. The tool of claim 5 , wherein model provides for common control of at least one operating parameter for all deposition chambers.
8. The tool of claim 5 , wherein the deposition recipe of step (b) in each chamber is the same.
9. The tool of claim 5 , wherein the deposition recipe of step (b) in each chamber is different.
10. The tool of claim 5 , wherein the calculating step of step (e) comprises calculating updated deposition recipes for each of the plurality of deposition chambers.
11. The tool of claim 5 , wherein the model provides for the effect of tool idle time of the deposition process.
12. The tool of claim 11 , wherein the model defines a first deposition time when the idle time is more than a predetermined period and a second deposition time when the idle time is less than the predetermined period.
13. The tool of claim 1 , wherein the model evaluates the reliability of a measurement of a film property.
14. A computer readable medium comprising instructions being executed by a computer, the instructions including a computer-implemented software application for a sub-atmospheric chemical vapor deposition process, the instructions for implementing the process comprising:
a) receiving data from a sub-atmospheric chemical vapor deposition tool relating to the film property of at least one wafer processed in the sub-atmospheric chemical vapor deposition process; and
b) calculating, from the data of step (a), an updated deposition model, wherein the updated deposition model is calculated by determining the difference between an output of a film deposition model and the data of step (a).
15. The medium of claim 14 , further comprising:
c) calculating, using the updated model of step (b) and a target output value for the film property, an updated deposition recipe.
16. The medium of claim 14 , wherein the data of step (a) further includes one or more deposition parameters selected from the group consisting of deposition time, wafer temperature, ozone flow rate, oxygen flow rate, reactive gas flow rate, carrier gas flow rate, dopant gas flow rate, chamber pressure and shower head spacing from the wafer.
17. The medium of claim 14 , wherein the film property is selected from the group consisting of film thickness, stress, refractive index, dopant concentration, and extinction coefficient.
18. A sub-atmospheric chemical deposition tool, comprising:
a) modeling means for identifying one or more film properties of a film and at least one deposition model variable that correlates with the one or more film properties in a sub-atmospheric CVD deposition process;
b) means for depositing a film onto a wafer using a first deposition recipe comprising at least one deposition recipe parameter that corresponds to the at least one deposition variable;
c) means for measuring a film property of at least one of said one or more film properties for the deposited film of step (b);
d) means for calculating an updated deposition model based upon the measured film property of step (c) and the model of step (a); and
e) means for calculating an updated deposition recipe based upon the updated model of step (d) to maintain a target film property.
19. The sub-atmospheric CVD tool of claim 18 , wherein the model defines deposition of a plurality of films onto a plurality of wafers in a plurality of deposition chambers.
20. The sub-atmospheric CVD tool of claim 19 , wherein the model provides for independent control of at least one deposition parameter for at least two of said plurality of deposition chambers.
21. The sub-atmospheric CVD tool of claim 19 , wherein model provides for common control of at least one deposition parameter for at least two of said plurality of deposition chambers.
22. The sub-atmospheric CVD tool of claim 19 , wherein the deposition recipe of step (b) in each chamber is the same
23. The sub-atmospheric CVD tool of claim 19 , wherein the deposition recipe of step (b) in each chamber is different.
24. The sub-atmospheric CVD tool of claim 19 , wherein the calculating step of step (e) comprises calculating updated deposition recipes for each of the plurality of deposition chambers.
25. The sub-atmospheric CVD tool of claim 19 , wherein the model provides for the effect of tool idle time of the deposition process.
26. The sub-atmospheric CVD tool of claim 25 , wherein the model defines a first deposition time when the idle time is more than a predetermined period and a second deposition time when the idle time is less than the predetermined period.
27. A computer-implemented apparatus for controlling film deposition in a sub-atmospheric chemical vapor deposition (CVD) process, comprising:
a) modeling means for modeling a sub-atmospheric CVD deposition of a film that identifies one or more film properties of the film and at least one deposition model variable that correlates with the one or more film properties;
b) deposition means for depositing a film onto a wafer using a first deposition recipe comprising at least one deposition recipe parameter that corresponds to the at least one deposition variable;
c) measuring means for determining a film property of at least one of said one or more film properties for the deposited film of step (b); and
d) calculating means for determining an updated deposition model based upon the measured film property of step (c) and the model of step (a).
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/701,401 US20070169694A1 (en) | 2001-06-19 | 2007-02-02 | Feedback control of sub-atmospheric chemical vapor deposition processes |
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US29887801P | 2001-06-19 | 2001-06-19 | |
US34957601P | 2001-10-29 | 2001-10-29 | |
US36669802P | 2002-03-21 | 2002-03-21 | |
US10/174,377 US7201936B2 (en) | 2001-06-19 | 2002-06-18 | Method of feedback control of sub-atmospheric chemical vapor deposition processes |
US11/701,401 US20070169694A1 (en) | 2001-06-19 | 2007-02-02 | Feedback control of sub-atmospheric chemical vapor deposition processes |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US10/174,377 Division US7201936B2 (en) | 2001-06-19 | 2002-06-18 | Method of feedback control of sub-atmospheric chemical vapor deposition processes |
Publications (1)
Publication Number | Publication Date |
---|---|
US20070169694A1 true US20070169694A1 (en) | 2007-07-26 |
Family
ID=27497089
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US10/174,377 Expired - Fee Related US7201936B2 (en) | 2001-06-19 | 2002-06-18 | Method of feedback control of sub-atmospheric chemical vapor deposition processes |
US11/701,401 Abandoned US20070169694A1 (en) | 2001-06-19 | 2007-02-02 | Feedback control of sub-atmospheric chemical vapor deposition processes |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US10/174,377 Expired - Fee Related US7201936B2 (en) | 2001-06-19 | 2002-06-18 | Method of feedback control of sub-atmospheric chemical vapor deposition processes |
Country Status (1)
Country | Link |
---|---|
US (2) | US7201936B2 (en) |
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20070300194A1 (en) * | 2006-06-21 | 2007-12-27 | Fujitsu Limited | Film thickness predicting program, recording medium, film thickness predicting apparatus, and film thickness predicting method |
US20090235865A1 (en) * | 2008-03-18 | 2009-09-24 | Hitachi Kokusai Electric Inc. | Substrate processing apparatus and substrate processing system |
US20090263974A1 (en) * | 2001-08-28 | 2009-10-22 | Shusaku Kido | Substrate processing system for performing exposure process in gas atmosphere |
US8070909B2 (en) | 2001-06-19 | 2011-12-06 | Applied Materials, Inc. | Feedback control of chemical mechanical polishing device providing manipulation of removal rate profiles |
US20160322239A1 (en) * | 2015-04-28 | 2016-11-03 | Applied Materials, Inc. | Methods and Apparatus for Cleaning a Substrate |
WO2022115328A1 (en) * | 2020-11-24 | 2022-06-02 | Applied Materials, Inc. | Feedforward control of multi-layer stacks during device fabrication |
Families Citing this family (53)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7201936B2 (en) * | 2001-06-19 | 2007-04-10 | Applied Materials, Inc. | Method of feedback control of sub-atmospheric chemical vapor deposition processes |
US20030199112A1 (en) | 2002-03-22 | 2003-10-23 | Applied Materials, Inc. | Copper wiring module control |
US7247345B2 (en) * | 2002-03-25 | 2007-07-24 | Ulvac, Inc. | Optical film thickness controlling method and apparatus, dielectric multilayer film and manufacturing apparatus thereof |
US7524532B2 (en) * | 2002-04-22 | 2009-04-28 | Aixtron Ag | Process for depositing thin layers on a substrate in a process chamber of adjustable height |
US6912437B2 (en) * | 2002-09-30 | 2005-06-28 | Advanced Micro Devices, Inc. | Method and apparatus for controlling a fabrication process based on a measured electrical characteristic |
JP2005038976A (en) * | 2003-07-18 | 2005-02-10 | Hitachi High-Technologies Corp | Optimal etching parameter automatic setting system and etching result evaluation system |
US7477958B2 (en) * | 2005-05-11 | 2009-01-13 | International Business Machines Corporation | Method of release and product flow management for a manufacturing facility |
TWI298431B (en) * | 2005-06-02 | 2008-07-01 | Powerchip Semiconductor Corp | A method for adjusting tool setting, a manufacture control system, and a semiconductor manufacturing system |
US7381576B2 (en) * | 2005-08-15 | 2008-06-03 | Infineon Technologies Richmond, Lp. | Method and apparatus for monitoring precision of water placement alignment |
US7632542B2 (en) * | 2005-10-26 | 2009-12-15 | University Of Maryland | Method for controlling uniformity of thin films fabricated in processing systems |
US20080101912A1 (en) * | 2006-10-26 | 2008-05-01 | Martin Todd W | Deposition analysis for robot motion correction |
US7509186B2 (en) * | 2006-11-07 | 2009-03-24 | International Business Machines Corporation | Method and system for reducing the variation in film thickness on a plurality of semiconductor wafers having multiple deposition paths in a semiconductor manufacturing process |
US20080121513A1 (en) * | 2006-11-24 | 2008-05-29 | Tdk Corporation | Processing condition obtaining method and thin-film forming method |
JP5203612B2 (en) * | 2007-01-17 | 2013-06-05 | 株式会社日立ハイテクノロジーズ | Plasma processing equipment |
JP4838197B2 (en) * | 2007-06-05 | 2011-12-14 | 東京エレクトロン株式会社 | Plasma processing apparatus, electrode temperature adjusting apparatus, electrode temperature adjusting method |
US8295969B2 (en) * | 2007-07-27 | 2012-10-23 | Intermolecular, Inc. | Combinatorial processing management system |
DE102007035833B3 (en) * | 2007-07-31 | 2009-03-12 | Advanced Micro Devices, Inc., Sunnyvale | Advanced automatic deposition profile targeting and control through the use of advanced polishing endpoint feedback |
US7917241B2 (en) * | 2007-08-01 | 2011-03-29 | Tel Epion Inc. | Method and system for increasing throughput during location specific processing of a plurality of substrates |
US9287092B2 (en) * | 2009-05-01 | 2016-03-15 | Advanced Energy Industries, Inc. | Method and apparatus for controlling ion energy distribution |
US9435029B2 (en) | 2010-08-29 | 2016-09-06 | Advanced Energy Industries, Inc. | Wafer chucking system for advanced plasma ion energy processing systems |
US9767988B2 (en) | 2010-08-29 | 2017-09-19 | Advanced Energy Industries, Inc. | Method of controlling the switched mode ion energy distribution system |
US9287086B2 (en) | 2010-04-26 | 2016-03-15 | Advanced Energy Industries, Inc. | System, method and apparatus for controlling ion energy distribution |
US11615941B2 (en) | 2009-05-01 | 2023-03-28 | Advanced Energy Industries, Inc. | System, method, and apparatus for controlling ion energy distribution in plasma processing systems |
US8670857B2 (en) * | 2010-02-02 | 2014-03-11 | Applied Materials, Inc. | Flexible process condition monitoring |
US20110195636A1 (en) * | 2010-02-11 | 2011-08-11 | United Microelectronics Corporation | Method for Controlling Polishing Wafer |
WO2011100506A1 (en) * | 2010-02-12 | 2011-08-18 | First Solar, Inc. | Deposition rate control |
DE102010016471A1 (en) * | 2010-04-16 | 2011-10-20 | Aixtron Ag | Apparatus and method for simultaneously depositing multiple semiconductor layers in multiple process chambers |
US9309594B2 (en) | 2010-04-26 | 2016-04-12 | Advanced Energy Industries, Inc. | System, method and apparatus for controlling ion energy distribution of a projected plasma |
US9362089B2 (en) | 2010-08-29 | 2016-06-07 | Advanced Energy Industries, Inc. | Method of controlling the switched mode ion energy distribution system |
US8906163B2 (en) * | 2010-12-07 | 2014-12-09 | Lam Research Corporation | Methods and apparatus for integrating and controlling a plasma processing system |
US8420531B2 (en) | 2011-06-21 | 2013-04-16 | International Business Machines Corporation | Enhanced diffusion barrier for interconnect structures |
US8925481B2 (en) * | 2011-10-12 | 2015-01-06 | Intermolecular, Inc. | Systems and methods for measuring, monitoring and controlling ozone concentration |
KR102025540B1 (en) | 2012-08-28 | 2019-09-26 | 에이이에스 글로벌 홀딩스 피티이 리미티드 | Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and pulsed bias supply; and a virtual front panel |
US9210790B2 (en) | 2012-08-28 | 2015-12-08 | Advanced Energy Industries, Inc. | Systems and methods for calibrating a switched mode ion energy distribution system |
US9685297B2 (en) | 2012-08-28 | 2017-06-20 | Advanced Energy Industries, Inc. | Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system |
JP5535347B1 (en) * | 2013-02-04 | 2014-07-02 | エピクルー株式会社 | Imaging apparatus, semiconductor manufacturing apparatus, and semiconductor manufacturing method |
US11209804B2 (en) * | 2014-11-11 | 2021-12-28 | Applied Materials, Inc. | Intelligent processing tools |
CN104332435B (en) * | 2014-11-17 | 2017-07-21 | 北京七星华创电子股份有限公司 | A kind of parameter regulation means of semiconductor manufacturing equipment |
US10794872B2 (en) * | 2015-11-16 | 2020-10-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Acoustic measurement of fabrication equipment clearance |
US11187992B2 (en) * | 2017-10-23 | 2021-11-30 | Applied Materials, Inc. | Predictive modeling of metrology in semiconductor processes |
TWI804836B (en) | 2017-11-17 | 2023-06-11 | 新加坡商Aes 全球公司 | Method and system for plasma processing and relevant non-transitory computer-readable medium |
WO2019099937A1 (en) | 2017-11-17 | 2019-05-23 | Advanced Energy Industries, Inc. | Improved application of modulating supplies in a plasma processing system |
WO2019099925A1 (en) | 2017-11-17 | 2019-05-23 | Advanced Energy Industries, Inc. | Spatial and temporal control of ion bias voltage for plasma processing |
US10916503B2 (en) | 2018-09-11 | 2021-02-09 | International Business Machines Corporation | Back end of line metallization structure |
US10705514B2 (en) * | 2018-10-09 | 2020-07-07 | Applied Materials, Inc. | Adaptive chamber matching in advanced semiconductor process control |
KR101965605B1 (en) * | 2018-11-02 | 2019-08-13 | 주식회사 아이브이웍스 | Apparatus, method and recording medium storing command for controlling thin-film deposition process |
US11328964B2 (en) | 2018-12-13 | 2022-05-10 | Applied Materials, Inc. | Prescriptive analytics in highly collinear response space |
TW202109611A (en) | 2019-07-12 | 2021-03-01 | 新加坡商Aes全球公司 | Bias supply with a single controlled switch |
US11894220B2 (en) | 2019-07-17 | 2024-02-06 | Applied Materials, Inc. | Method and apparatus for controlling a processing reactor |
TWI761975B (en) * | 2020-09-29 | 2022-04-21 | 新加坡商鴻運科股份有限公司 | Device and method for monitoring abnormal machine process parameters, and storage medium |
US11670487B1 (en) | 2022-01-26 | 2023-06-06 | Advanced Energy Industries, Inc. | Bias supply control and data processing |
US11942309B2 (en) | 2022-01-26 | 2024-03-26 | Advanced Energy Industries, Inc. | Bias supply with resonant switching |
US20230359179A1 (en) * | 2022-05-05 | 2023-11-09 | Applied Materials, Inc. | Methods and mechanisms for adjusting film deposition parameters during substrate manufacturing |
Citations (105)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US32003A (en) * | 1861-04-09 | Improved mode of attaching gun-stocks to pistols | ||
US397924A (en) * | 1889-02-19 | maddin | ||
US3229198A (en) * | 1962-09-28 | 1966-01-11 | Hugo L Libby | Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample |
US4368510A (en) * | 1980-10-20 | 1983-01-11 | Leeds & Northrup Company | Automatic identification system for self tuning process controller |
US4717596A (en) * | 1985-10-30 | 1988-01-05 | International Business Machines Corporation | Method for vacuum vapor deposition with improved mass flow control |
US4796194A (en) * | 1986-08-20 | 1989-01-03 | Atherton Robert W | Real world modeling and control process |
US4901218A (en) * | 1987-08-12 | 1990-02-13 | Renishaw Controls Limited | Communications adaptor for automated factory system |
US5089970A (en) * | 1989-10-05 | 1992-02-18 | Combustion Engineering, Inc. | Integrated manufacturing system |
US5108570A (en) * | 1990-03-30 | 1992-04-28 | Applied Materials, Inc. | Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer |
US5283141A (en) * | 1992-03-05 | 1994-02-01 | National Semiconductor | Photolithography control system and method using latent image measurements |
US5295242A (en) * | 1990-11-02 | 1994-03-15 | Consilium, Inc. | Apparatus and method for viewing relationships in a factory management system |
US5398336A (en) * | 1990-10-16 | 1995-03-14 | Consilium, Inc. | Object-oriented architecture for factory floor management |
US5402367A (en) * | 1993-07-19 | 1995-03-28 | Texas Instruments, Incorporated | Apparatus and method for model based process control |
US5408405A (en) * | 1993-09-20 | 1995-04-18 | Texas Instruments Incorporated | Multi-variable statistical process controller for discrete manufacturing |
US5410473A (en) * | 1992-01-07 | 1995-04-25 | Fukuda Denshi Kabushiki Kaisha | Method and apparatus for recording electrocardiogram information |
US5485082A (en) * | 1990-04-11 | 1996-01-16 | Micro-Epsilon Messtechnik Gmbh & Co. Kg | Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like |
US5490097A (en) * | 1993-03-22 | 1996-02-06 | Fujitsu Limited | System and method for modeling, analyzing and executing work process plans |
US5495417A (en) * | 1990-08-14 | 1996-02-27 | Kabushiki Kaisha Toshiba | System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line |
US5497316A (en) * | 1990-08-31 | 1996-03-05 | Sci Systems, Inc. | Process gas distribution system and method |
US5497381A (en) * | 1993-10-15 | 1996-03-05 | Analog Devices, Inc. | Bitstream defect analysis method for integrated circuits |
US5503707A (en) * | 1993-09-22 | 1996-04-02 | Texas Instruments Incorporated | Method and apparatus for process endpoint prediction based on actual thickness measurements |
US5511005A (en) * | 1994-02-16 | 1996-04-23 | Ade Corporation | Wafer handling and processing system |
US5599423A (en) * | 1995-06-30 | 1997-02-04 | Applied Materials, Inc. | Apparatus and method for simulating and optimizing a chemical mechanical polishing system |
US5602492A (en) * | 1992-03-13 | 1997-02-11 | The United States Of America As Represented By The Secretary Of Commerce | Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate |
US5603707A (en) * | 1995-11-28 | 1997-02-18 | The Procter & Gamble Company | Absorbent article having a rewet barrier |
US5617023A (en) * | 1995-02-02 | 1997-04-01 | Otis Elevator Company | Industrial contactless position sensor |
US5621241A (en) * | 1994-08-17 | 1997-04-15 | Texas Instruments Incorporated | Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films |
US5698989A (en) * | 1994-10-06 | 1997-12-16 | Applied Materilas, Inc. | Film sheet resistance measurement |
US5711843A (en) * | 1995-02-21 | 1998-01-27 | Orincon Technologies, Inc. | System for indirectly monitoring and controlling a process with particular application to plasma processes |
US5719796A (en) * | 1995-12-04 | 1998-02-17 | Advanced Micro Devices, Inc. | System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback |
US5719495A (en) * | 1990-12-31 | 1998-02-17 | Texas Instruments Incorporated | Apparatus for semiconductor device fabrication diagnosis and prognosis |
US5733171A (en) * | 1996-07-18 | 1998-03-31 | Speedfam Corporation | Apparatus for the in-process detection of workpieces in a CMP environment |
US5735055A (en) * | 1996-04-23 | 1998-04-07 | Aluminum Company Of America | Method and apparatus for measuring the thickness of an article at a plurality of points |
US5740429A (en) * | 1995-07-07 | 1998-04-14 | Advanced Micro Devices, Inc. | E10 reporting tool |
US5857258A (en) * | 1992-03-13 | 1999-01-12 | The United States Of America As Represented By The Secretary Of Commerce | Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate |
US5859975A (en) * | 1993-12-15 | 1999-01-12 | Hewlett-Packard, Co. | Parallel processing computer system having shared coherent memory and interconnections utilizing separate undirectional request and response lines for direct communication or using crossbar switching device |
US5859777A (en) * | 1996-05-14 | 1999-01-12 | Toshiba Kikai Kabushiki Kaisha | Casting control support system for die casting machines |
US5859964A (en) * | 1996-10-25 | 1999-01-12 | Advanced Micro Devices, Inc. | System and method for performing real time data acquisition, process modeling and fault detection of wafer fabrication processes |
US5862054A (en) * | 1997-02-20 | 1999-01-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Process monitoring system for real time statistical process control |
US5863807A (en) * | 1995-09-20 | 1999-01-26 | Samsung Electronics Co., Ltd. | Manufacturing method of a semiconductor integrated circuit |
US5867389A (en) * | 1995-11-29 | 1999-02-02 | Dainippon Screen Mfg. Co., Ltd. | Substrate processing management system with recipe copying functions |
US5870306A (en) * | 1996-06-13 | 1999-02-09 | Mitsubishi Denki Kabushiki Kaisha | Automatic programming method and device for multi-system machine tool |
US5871805A (en) * | 1996-04-08 | 1999-02-16 | Lemelson; Jerome | Computer controlled vapor deposition processes |
US5883437A (en) * | 1994-12-28 | 1999-03-16 | Hitachi, Ltd. | Method and apparatus for inspection and correction of wiring of electronic circuit and for manufacture thereof |
US5889991A (en) * | 1996-12-06 | 1999-03-30 | International Business Machines Corp. | Method and system for customizing a palette using any java class |
US6012048A (en) * | 1997-05-30 | 2000-01-04 | Capital Security Systems, Inc. | Automated banking system for dispensing money orders, wire transfer and bill payment |
US6017771A (en) * | 1998-04-27 | 2000-01-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and system for yield loss analysis by yield management system |
US6037664A (en) * | 1997-08-20 | 2000-03-14 | Sematech Inc | Dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer |
US6036349A (en) * | 1995-07-27 | 2000-03-14 | Health Designs, Inc. | Method and apparatus for validation of model-based predictions |
US6041270A (en) * | 1997-12-05 | 2000-03-21 | Advanced Micro Devices, Inc. | Automatic recipe adjust and download based on process control window |
US6041263A (en) * | 1996-10-01 | 2000-03-21 | Aspen Technology, Inc. | Method and apparatus for simulating and optimizing a plant model |
US6054379A (en) * | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
US6169931B1 (en) * | 1998-07-29 | 2001-01-02 | Southwest Research Institute | Method and system for modeling, predicting and optimizing chemical mechanical polishing pad wear and extending pad life |
US6172756B1 (en) * | 1998-12-11 | 2001-01-09 | Filmetrics, Inc. | Rapid and accurate end point detection in a noisy environment |
US6173240B1 (en) * | 1998-11-02 | 2001-01-09 | Ise Integrated Systems Engineering Ag | Multidimensional uncertainty analysis |
US6175777B1 (en) * | 1997-04-17 | 2001-01-16 | Samsung Electronics Co., Ltd. | Method for transferring wafer cassettes after checking whether process equipment is in a suitable mode |
US6178390B1 (en) * | 1997-12-26 | 2001-01-23 | Samsung Electronics Co., Ltd. | Method for controlling thicknesses of layers formed by deposition equipment for fabricating semiconductor devices |
US6181013B1 (en) * | 1999-06-25 | 2001-01-30 | Taiwan Semiconductor Manufacturing Company | Method for selective growth of Cu3Ge or Cu5Si for passivation of damascene copper structures and device manufactured thereby |
US6185324B1 (en) * | 1989-07-12 | 2001-02-06 | Hitachi, Ltd. | Semiconductor failure analysis system |
US6183345B1 (en) * | 1997-03-24 | 2001-02-06 | Canon Kabushiki Kaisha | Polishing apparatus and method |
US6183564B1 (en) * | 1998-11-12 | 2001-02-06 | Tokyo Electron Limited | Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system |
US6191864B1 (en) * | 1996-05-16 | 2001-02-20 | Micron Technology, Inc. | Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers |
US6192291B1 (en) * | 1998-01-14 | 2001-02-20 | Samsung Electronics Co., Ltd. | Method of controlling semiconductor fabricating equipment to process wafers of a single lot individually |
US6194231B1 (en) * | 1999-03-01 | 2001-02-27 | National Tsing Hua University | Method for monitoring polishing pad used in chemical-mechanical planarization process |
US6197604B1 (en) * | 1998-10-01 | 2001-03-06 | Advanced Micro Devices, Inc. | Method for providing cooperative run-to-run control for multi-product and multi-process semiconductor fabrication |
US6201208B1 (en) * | 1999-11-04 | 2001-03-13 | Wisconsin Alumni Research Foundation | Method and apparatus for plasma processing with control of ion energy distribution at the substrates |
US6204165B1 (en) * | 1999-06-24 | 2001-03-20 | International Business Machines Corporation | Practical air dielectric interconnections by post-processing standard CMOS wafers |
US6211094B1 (en) * | 1998-09-15 | 2001-04-03 | Samsung Electronics Co., Ltd. | Thickness control method in fabrication of thin-film layers in semiconductor devices |
US6210983B1 (en) * | 1998-10-21 | 2001-04-03 | Texas Instruments Incorporated | Method for analyzing probe yield sensitivities to IC design |
US6210745B1 (en) * | 1999-07-08 | 2001-04-03 | National Semiconductor Corporation | Method of quality control for chemical vapor deposition |
US6248602B1 (en) * | 1999-11-01 | 2001-06-19 | Amd, Inc. | Method and apparatus for automated rework within run-to-run control semiconductor manufacturing |
US6334807B1 (en) * | 1999-04-30 | 2002-01-01 | International Business Machines Corporation | Chemical mechanical polishing in-situ end point system |
US6336841B1 (en) * | 2001-03-29 | 2002-01-08 | Macronix International Co. Ltd. | Method of CMP endpoint detection |
US6339727B1 (en) * | 1998-12-21 | 2002-01-15 | Recot, Inc. | Apparatus and method for controlling distribution of product in manufacturing process |
US6340602B1 (en) * | 1999-12-10 | 2002-01-22 | Sensys Instruments | Method of measuring meso-scale structures on wafers |
US6345315B1 (en) * | 1997-08-13 | 2002-02-05 | Sudhindra N. Mishra | Method for platform and protocol independent communication between client-server pairs |
US6345288B1 (en) * | 1989-08-31 | 2002-02-05 | Onename Corporation | Computer-based communication system and method using metadata defining a control-structure |
US6346426B1 (en) * | 2000-11-17 | 2002-02-12 | Advanced Micro Devices, Inc. | Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements |
US6355559B1 (en) * | 1999-11-18 | 2002-03-12 | Texas Instruments Incorporated | Passivation of inlaid metallization |
US20020032499A1 (en) * | 1999-04-13 | 2002-03-14 | Wilson Gregory J. | Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece |
US6360184B1 (en) * | 1996-03-28 | 2002-03-19 | Bio-Analytics, Inc. D/B/A Biomedware, Inc. | Method for measuring a degree of association for dimensionally referenced data |
US6360133B1 (en) * | 1999-06-17 | 2002-03-19 | Advanced Micro Devices, Inc. | Method and apparatus for automatic routing for reentrant process |
US6363294B1 (en) * | 1997-12-30 | 2002-03-26 | International Business Machines Corporation | Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision |
US6417014B1 (en) * | 1999-10-19 | 2002-07-09 | Advanced Micro Devices, Inc. | Method and apparatus for reducing wafer to wafer deposition variation |
US20020139666A1 (en) * | 2001-03-29 | 2002-10-03 | Paul Hsueh | Adjustable shadow mask for improving uniformity of film deposition using multiple monitoring points along radius of substrate |
US6503839B2 (en) * | 1999-08-11 | 2003-01-07 | Micron Technology, Inc. | Endpoint stabilization for polishing process |
US20030017256A1 (en) * | 2001-06-14 | 2003-01-23 | Takashi Shimane | Applying apparatus and method of controlling film thickness for enabling uniform thickness |
US20030020928A1 (en) * | 2000-07-08 | 2003-01-30 | Ritzdorf Thomas L. | Methods and apparatus for processing microelectronic workpieces using metrology |
US20030020909A1 (en) * | 2001-04-09 | 2003-01-30 | Speedfam-Ipec Corporation | Method and apparatus for optical endpoint calibration in CMP |
US6515368B1 (en) * | 2001-12-07 | 2003-02-04 | Advanced Micro Devices, Inc. | Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper |
US6517414B1 (en) * | 2000-03-10 | 2003-02-11 | Appied Materials, Inc. | Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus |
US6517413B1 (en) * | 2000-10-25 | 2003-02-11 | Taiwan Semiconductor Manufacturing Company | Method for a copper CMP endpoint detection system |
US6528409B1 (en) * | 2002-04-29 | 2003-03-04 | Advanced Micro Devices, Inc. | Interconnect structure formed in porous dielectric material with minimized degradation and electromigration |
US6532555B1 (en) * | 1999-10-29 | 2003-03-11 | Advanced Micro Devices, Inc. | Method and apparatus for integration of real-time tool data and in-line metrology for fault detection in an advanced process control (APC) framework |
US20030049376A1 (en) * | 2001-06-19 | 2003-03-13 | Applied Materials, Inc. | Feedback control of sub-atmospheric chemical vapor deposition processes |
US20030049390A1 (en) * | 2001-06-19 | 2003-03-13 | Applied Materials, Inc. | Feedback control of plasma-enhanced chemical vapor deposition processes |
US6535783B1 (en) * | 2001-03-05 | 2003-03-18 | Advanced Micro Devices, Inc. | Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework |
US6537912B1 (en) * | 2000-08-25 | 2003-03-25 | Micron Technology Inc. | Method of forming an encapsulated conductive pillar |
US6678570B1 (en) * | 2001-06-26 | 2004-01-13 | Advanced Micro Devices, Inc. | Method and apparatus for determining output characteristics using tool state data |
US20040020601A1 (en) * | 2000-02-10 | 2004-02-05 | Applied Materials, Inc. | Process and an integrated tool for low k dielectric deposition including a pecvd capping module |
US6708075B2 (en) * | 2001-11-16 | 2004-03-16 | Advanced Micro Devices | Method and apparatus for utilizing integrated metrology data as feed-forward data |
US6708074B1 (en) * | 2000-08-11 | 2004-03-16 | Applied Materials, Inc. | Generic interface builder |
US7160739B2 (en) * | 2001-06-19 | 2007-01-09 | Applied Materials, Inc. | Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles |
US7174230B2 (en) * | 1999-07-29 | 2007-02-06 | Applied Materials, Inc. | Computer integrated manufacturing techniques |
US7337019B2 (en) * | 2001-07-16 | 2008-02-26 | Applied Materials, Inc. | Integration of fault detection with run-to-run control |
Family Cites Families (80)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3205485A (en) | 1960-10-21 | 1965-09-07 | Ti Group Services Ltd | Screening vane electro-mechanical transducer |
US3767900A (en) | 1971-06-23 | 1973-10-23 | Cons Paper Inc | Adaptive controller having optimal filtering |
CH569321A5 (en) | 1973-10-03 | 1975-11-14 | Siemens Ag | |
US4000458A (en) | 1975-08-21 | 1976-12-28 | Bell Telephone Laboratories, Incorporated | Method for the noncontacting measurement of the electrical conductivity of a lamella |
US4209744A (en) | 1976-04-29 | 1980-06-24 | Fedosenko Jury K | Eddy current device for automatically testing the quality of elongated electrically conductive objects by non-destructive techniques |
US4207520A (en) | 1978-04-06 | 1980-06-10 | The United States Of America As Represented By The Secretary Of The Air Force | Multiple frequency digital eddy current inspection system |
US4302721A (en) | 1978-05-08 | 1981-11-24 | Tencor Instruments | Non-contacting resistivity instrument with structurally related conductance and distance measuring transducers |
US4609870A (en) | 1981-03-27 | 1986-09-02 | Hocking Electronics Limited | Lift off compensation of eddy current crack detection system by controlling damping resistance of oscillator |
US4616308A (en) | 1983-11-15 | 1986-10-07 | Shell Oil Company | Dynamic process control |
EP0162670B1 (en) | 1984-05-19 | 1991-01-02 | British Aerospace Public Limited Company | Industrial processing and manufacturing systems |
US4967381A (en) | 1985-04-30 | 1990-10-30 | Prometrix Corporation | Process control interface system for managing measurement data |
US4663703A (en) | 1985-10-02 | 1987-05-05 | Westinghouse Electric Corp. | Predictive model reference adaptive controller |
FR2589566A1 (en) | 1985-11-06 | 1987-05-07 | Cegedur | METHOD FOR NON-CONTACT SCALE MEASUREMENT OF THE THICKNESS AND TEMPERATURE OF THIN METAL SHEETS USING FOUCAULT CURRENTS |
US4750141A (en) | 1985-11-26 | 1988-06-07 | Ade Corporation | Method and apparatus for separating fixture-induced error from measured object characteristics and for compensating the measured object characteristic with the error, and a bow/warp station implementing same |
US4974543A (en) | 1986-02-28 | 1990-12-04 | Xerox Corporation | Apparatus for amorphous silicon film |
US4755753A (en) | 1986-07-23 | 1988-07-05 | General Electric Company | Eddy current surface mapping system for flaw detection |
US5260868A (en) | 1986-08-11 | 1993-11-09 | Texas Instruments Incorporate | Method for calendaring future events in real-time |
US4938600A (en) | 1989-02-09 | 1990-07-03 | Interactive Video Systems, Inc. | Method and apparatus for measuring registration between layers of a semiconductor wafer |
US4957605A (en) | 1989-04-17 | 1990-09-18 | Materials Research Corporation | Method and apparatus for sputter coating stepped wafers |
JP2780814B2 (en) | 1989-06-22 | 1998-07-30 | 株式会社日立製作所 | production management system |
US5236868A (en) | 1990-04-20 | 1993-08-17 | Applied Materials, Inc. | Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system |
US5208765A (en) | 1990-07-20 | 1993-05-04 | Advanced Micro Devices, Inc. | Computer-based method and system for product development |
US5226118A (en) | 1991-01-29 | 1993-07-06 | Prometrix Corporation | Data analysis system and method for industrial process control systems |
US5347446A (en) | 1991-02-08 | 1994-09-13 | Kabushiki Kaisha Toshiba | Model predictive control apparatus |
GB2257507B (en) | 1991-06-26 | 1995-03-01 | Digital Equipment Corp | Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection |
US5469361A (en) | 1991-08-08 | 1995-11-21 | The Board Of Regents Acting For And On Behalf Of The University Of Michigan | Generic cell controlling method and apparatus for computer integrated manufacturing system |
US5240552A (en) | 1991-12-11 | 1993-08-31 | Micron Technology, Inc. | Chemical mechanical planarization (CMP) of a semiconductor wafer using acoustical waves for in-situ end point detection |
US5309221A (en) | 1991-12-31 | 1994-05-03 | Corning Incorporated | Measurement of fiber diameters with high precision |
US5525808A (en) | 1992-01-23 | 1996-06-11 | Nikon Corporaton | Alignment method and alignment apparatus with a statistic calculation using a plurality of weighted coordinate positions |
FR2700403B1 (en) | 1993-01-12 | 1995-04-07 | Sextant Avionique | Method for structuring information used in an industrial process and its application to assistance in piloting an aerodyne. |
US5586039A (en) | 1993-03-29 | 1996-12-17 | Texas Instruments Incorporated | Computer-aided manufacturing support method and system for specifying relationships and dependencies between process type components |
US5369544A (en) | 1993-04-05 | 1994-11-29 | Ford Motor Company | Silicon-on-insulator capacitive surface micromachined absolute pressure sensor |
US5367624A (en) | 1993-06-11 | 1994-11-22 | Consilium, Inc. | Interface for controlling transactions in a manufacturing execution system |
US5642296A (en) | 1993-07-29 | 1997-06-24 | Texas Instruments Incorporated | Method of diagnosing malfunctions in semiconductor manufacturing equipment |
JP3039210B2 (en) | 1993-08-03 | 2000-05-08 | 日本電気株式会社 | Method for manufacturing semiconductor device |
US5546312A (en) | 1993-09-20 | 1996-08-13 | Texas Instruments Incorporated | Use of spatial models for simultaneous control of various non-uniformity metrics |
DE69425100T2 (en) | 1993-09-30 | 2001-03-15 | Koninkl Philips Electronics Nv | Dynamic neural network |
US5526293A (en) | 1993-12-17 | 1996-06-11 | Texas Instruments Inc. | System and method for controlling semiconductor wafer processing |
US5420796A (en) | 1993-12-23 | 1995-05-30 | Vlsi Technology, Inc. | Method of inspecting planarity of wafer surface after etchback step in integrated circuit fabrication |
KR950034499A (en) * | 1994-01-28 | 1995-12-28 | 제임스 조셉 드롱 | Method and apparatus for monitoring the deposition rate of films during physical vapor deposition |
US5664987A (en) | 1994-01-31 | 1997-09-09 | National Semiconductor Corporation | Methods and apparatus for control of polishing pad conditioning for wafer planarization |
US5666297A (en) | 1994-05-13 | 1997-09-09 | Aspen Technology, Inc. | Plant simulation and optimization software apparatus and method using dual execution models |
US5629216A (en) | 1994-06-30 | 1997-05-13 | Seh America, Inc. | Method for producing semiconductor wafers with low light scattering anomalies |
JP3402412B2 (en) | 1994-09-20 | 2003-05-06 | 株式会社リコー | Process simulation input data setting device |
US5519605A (en) | 1994-10-24 | 1996-05-21 | Olin Corporation | Model predictive control apparatus and method |
DE4446966A1 (en) | 1994-12-28 | 1996-07-04 | Itt Ind Gmbh Deutsche | Production control information system |
US5534289A (en) | 1995-01-03 | 1996-07-09 | Competitive Technologies Inc. | Structural crack monitoring technique |
US5535128A (en) * | 1995-02-09 | 1996-07-09 | The United States Of America As Represented By The Secretary Of The Air Force | Hierarchical feedback control of pulsed laser deposition |
US5646870A (en) | 1995-02-13 | 1997-07-08 | Advanced Micro Devices, Inc. | Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers |
US5761065A (en) | 1995-03-30 | 1998-06-02 | Advanced Micro Devices, Inc. | Arrangement and method for detecting sequential processing effects in manufacturing |
US5541510A (en) | 1995-04-06 | 1996-07-30 | Kaman Instrumentation Corporation | Multi-Parameter eddy current measuring system with parameter compensation technical field |
US5665214A (en) | 1995-05-03 | 1997-09-09 | Sony Corporation | Automatic film deposition control method and system |
US5764543A (en) | 1995-06-16 | 1998-06-09 | I2 Technologies, Inc. | Extensible model network representation system for process planning |
US5649169A (en) | 1995-06-20 | 1997-07-15 | Advanced Micro Devices, Inc. | Method and system for declustering semiconductor defect data |
US5665199A (en) | 1995-06-23 | 1997-09-09 | Advanced Micro Devices, Inc. | Methodology for developing product-specific interlayer dielectric polish processes |
US5751582A (en) | 1995-09-25 | 1998-05-12 | Texas Instruments Incorporated | Controlling process modules using site models and monitor wafer control |
US5777901A (en) | 1995-09-29 | 1998-07-07 | Advanced Micro Devices, Inc. | Method and system for automated die yield prediction in semiconductor manufacturing |
US5655951A (en) | 1995-09-29 | 1997-08-12 | Micron Technology, Inc. | Method for selectively reconditioning a polishing pad used in chemical-mechanical planarization of semiconductor wafers |
US5761064A (en) | 1995-10-06 | 1998-06-02 | Advanced Micro Devices, Inc. | Defect management system for productivity and yield improvement |
US5654903A (en) | 1995-11-07 | 1997-08-05 | Lucent Technologies Inc. | Method and apparatus for real time monitoring of wafer attributes in a plasma etch process |
US5674787A (en) | 1996-01-16 | 1997-10-07 | Sematech, Inc. | Selective electroless copper deposited interconnect plugs for ULSI applications |
US5660895A (en) | 1996-04-24 | 1997-08-26 | Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College | Low-temperature plasma-enhanced chemical vapor deposition of silicon oxide films and fluorinated silicon oxide films using disilane as a silicon precursor |
US5663797A (en) | 1996-05-16 | 1997-09-02 | Micron Technology, Inc. | Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers |
US5667424A (en) | 1996-09-25 | 1997-09-16 | Chartered Semiconductor Manufacturing Pte Ltd. | New chemical mechanical planarization (CMP) end point detection apparatus |
US5874345A (en) * | 1996-11-18 | 1999-02-23 | International Business Machines Corporation | Method for planarizing TEOS SiO2 filled shallow isolation trenches |
US5695810A (en) | 1996-11-20 | 1997-12-09 | Cornell Research Foundation, Inc. | Use of cobalt tungsten phosphide as a barrier material for copper metallization |
US5808303A (en) | 1997-01-29 | 1998-09-15 | Art Aerospace Research Technologies Inc. | Infrared screening and inspection system |
US6219711B1 (en) * | 1997-05-13 | 2001-04-17 | Micron Electronics, Inc. | Synchronous communication interface |
US5937323A (en) * | 1997-06-03 | 1999-08-10 | Applied Materials, Inc. | Sequencing of the recipe steps for the optimal low-k HDP-CVD processing |
US5985497A (en) * | 1998-02-03 | 1999-11-16 | Advanced Micro Devices, Inc. | Method for reducing defects in a semiconductor lithographic process |
US6123983A (en) * | 1998-04-23 | 2000-09-26 | Sandia Corporation | Method and apparatus for monitoring plasma processing operations |
US6366934B1 (en) * | 1998-10-08 | 2002-04-02 | International Business Machines Corporation | Method and apparatus for querying structured documents using a database extender |
US6214734B1 (en) * | 1998-11-20 | 2001-04-10 | Vlsi Technology, Inc. | Method of using films having optimized optical properties for chemical mechanical polishing endpoint detection |
US6212961B1 (en) * | 1999-02-11 | 2001-04-10 | Nova Measuring Instruments Ltd. | Buffer system for a wafer handling system |
US6368883B1 (en) * | 1999-08-10 | 2002-04-09 | Advanced Micro Devices, Inc. | Method for identifying and controlling impact of ambient conditions on photolithography processes |
US6217412B1 (en) * | 1999-08-11 | 2001-04-17 | Advanced Micro Devices, Inc. | Method for characterizing polish pad lots to eliminate or reduce tool requalification after changing a polishing pad |
US6368879B1 (en) * | 1999-09-22 | 2002-04-09 | Advanced Micro Devices, Inc. | Process control with control signal derived from metrology of a repetitive critical dimension feature of a test structure on the work piece |
US6368884B1 (en) * | 2000-04-13 | 2002-04-09 | Advanced Micro Devices, Inc. | Die-based in-fab process monitoring and analysis system for semiconductor processing |
US6291367B1 (en) * | 2000-06-01 | 2001-09-18 | Atmel Corporation | Method for depositing a selected thickness of an interlevel dielectric material to achieve optimum global planarity on a semiconductor wafer |
US6541401B1 (en) * | 2000-07-31 | 2003-04-01 | Applied Materials, Inc. | Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate |
-
2002
- 2002-06-18 US US10/174,377 patent/US7201936B2/en not_active Expired - Fee Related
-
2007
- 2007-02-02 US US11/701,401 patent/US20070169694A1/en not_active Abandoned
Patent Citations (109)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US32003A (en) * | 1861-04-09 | Improved mode of attaching gun-stocks to pistols | ||
US397924A (en) * | 1889-02-19 | maddin | ||
US3229198A (en) * | 1962-09-28 | 1966-01-11 | Hugo L Libby | Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample |
US4368510A (en) * | 1980-10-20 | 1983-01-11 | Leeds & Northrup Company | Automatic identification system for self tuning process controller |
US4717596A (en) * | 1985-10-30 | 1988-01-05 | International Business Machines Corporation | Method for vacuum vapor deposition with improved mass flow control |
US4796194A (en) * | 1986-08-20 | 1989-01-03 | Atherton Robert W | Real world modeling and control process |
US4901218A (en) * | 1987-08-12 | 1990-02-13 | Renishaw Controls Limited | Communications adaptor for automated factory system |
US6185324B1 (en) * | 1989-07-12 | 2001-02-06 | Hitachi, Ltd. | Semiconductor failure analysis system |
US6345288B1 (en) * | 1989-08-31 | 2002-02-05 | Onename Corporation | Computer-based communication system and method using metadata defining a control-structure |
US5089970A (en) * | 1989-10-05 | 1992-02-18 | Combustion Engineering, Inc. | Integrated manufacturing system |
US5108570A (en) * | 1990-03-30 | 1992-04-28 | Applied Materials, Inc. | Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer |
US5485082A (en) * | 1990-04-11 | 1996-01-16 | Micro-Epsilon Messtechnik Gmbh & Co. Kg | Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like |
US5495417A (en) * | 1990-08-14 | 1996-02-27 | Kabushiki Kaisha Toshiba | System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line |
US5497316A (en) * | 1990-08-31 | 1996-03-05 | Sci Systems, Inc. | Process gas distribution system and method |
US5508947A (en) * | 1990-08-31 | 1996-04-16 | Sci Systems, Inc. | Process gas distribution system and method with automatic transducer zero calibration |
US5398336A (en) * | 1990-10-16 | 1995-03-14 | Consilium, Inc. | Object-oriented architecture for factory floor management |
US5295242A (en) * | 1990-11-02 | 1994-03-15 | Consilium, Inc. | Apparatus and method for viewing relationships in a factory management system |
US5719495A (en) * | 1990-12-31 | 1998-02-17 | Texas Instruments Incorporated | Apparatus for semiconductor device fabrication diagnosis and prognosis |
US5410473A (en) * | 1992-01-07 | 1995-04-25 | Fukuda Denshi Kabushiki Kaisha | Method and apparatus for recording electrocardiogram information |
US5283141A (en) * | 1992-03-05 | 1994-02-01 | National Semiconductor | Photolithography control system and method using latent image measurements |
US5857258A (en) * | 1992-03-13 | 1999-01-12 | The United States Of America As Represented By The Secretary Of Commerce | Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate |
US5602492A (en) * | 1992-03-13 | 1997-02-11 | The United States Of America As Represented By The Secretary Of Commerce | Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate |
US5490097A (en) * | 1993-03-22 | 1996-02-06 | Fujitsu Limited | System and method for modeling, analyzing and executing work process plans |
US5402367A (en) * | 1993-07-19 | 1995-03-28 | Texas Instruments, Incorporated | Apparatus and method for model based process control |
US5408405A (en) * | 1993-09-20 | 1995-04-18 | Texas Instruments Incorporated | Multi-variable statistical process controller for discrete manufacturing |
US5503707A (en) * | 1993-09-22 | 1996-04-02 | Texas Instruments Incorporated | Method and apparatus for process endpoint prediction based on actual thickness measurements |
US5497381A (en) * | 1993-10-15 | 1996-03-05 | Analog Devices, Inc. | Bitstream defect analysis method for integrated circuits |
US5859975A (en) * | 1993-12-15 | 1999-01-12 | Hewlett-Packard, Co. | Parallel processing computer system having shared coherent memory and interconnections utilizing separate undirectional request and response lines for direct communication or using crossbar switching device |
US5511005A (en) * | 1994-02-16 | 1996-04-23 | Ade Corporation | Wafer handling and processing system |
US5621241A (en) * | 1994-08-17 | 1997-04-15 | Texas Instruments Incorporated | Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films |
US5698989A (en) * | 1994-10-06 | 1997-12-16 | Applied Materilas, Inc. | Film sheet resistance measurement |
US5883437A (en) * | 1994-12-28 | 1999-03-16 | Hitachi, Ltd. | Method and apparatus for inspection and correction of wiring of electronic circuit and for manufacture thereof |
US5617023A (en) * | 1995-02-02 | 1997-04-01 | Otis Elevator Company | Industrial contactless position sensor |
US5711843A (en) * | 1995-02-21 | 1998-01-27 | Orincon Technologies, Inc. | System for indirectly monitoring and controlling a process with particular application to plasma processes |
US5599423A (en) * | 1995-06-30 | 1997-02-04 | Applied Materials, Inc. | Apparatus and method for simulating and optimizing a chemical mechanical polishing system |
US5740429A (en) * | 1995-07-07 | 1998-04-14 | Advanced Micro Devices, Inc. | E10 reporting tool |
US6036349A (en) * | 1995-07-27 | 2000-03-14 | Health Designs, Inc. | Method and apparatus for validation of model-based predictions |
US5863807A (en) * | 1995-09-20 | 1999-01-26 | Samsung Electronics Co., Ltd. | Manufacturing method of a semiconductor integrated circuit |
US5603707A (en) * | 1995-11-28 | 1997-02-18 | The Procter & Gamble Company | Absorbent article having a rewet barrier |
US5867389A (en) * | 1995-11-29 | 1999-02-02 | Dainippon Screen Mfg. Co., Ltd. | Substrate processing management system with recipe copying functions |
US5719796A (en) * | 1995-12-04 | 1998-02-17 | Advanced Micro Devices, Inc. | System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback |
US6360184B1 (en) * | 1996-03-28 | 2002-03-19 | Bio-Analytics, Inc. D/B/A Biomedware, Inc. | Method for measuring a degree of association for dimensionally referenced data |
US5871805A (en) * | 1996-04-08 | 1999-02-16 | Lemelson; Jerome | Computer controlled vapor deposition processes |
US5735055A (en) * | 1996-04-23 | 1998-04-07 | Aluminum Company Of America | Method and apparatus for measuring the thickness of an article at a plurality of points |
US5859777A (en) * | 1996-05-14 | 1999-01-12 | Toshiba Kikai Kabushiki Kaisha | Casting control support system for die casting machines |
US6191864B1 (en) * | 1996-05-16 | 2001-02-20 | Micron Technology, Inc. | Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers |
US5870306A (en) * | 1996-06-13 | 1999-02-09 | Mitsubishi Denki Kabushiki Kaisha | Automatic programming method and device for multi-system machine tool |
US5733171A (en) * | 1996-07-18 | 1998-03-31 | Speedfam Corporation | Apparatus for the in-process detection of workpieces in a CMP environment |
US6041263A (en) * | 1996-10-01 | 2000-03-21 | Aspen Technology, Inc. | Method and apparatus for simulating and optimizing a plant model |
US5859964A (en) * | 1996-10-25 | 1999-01-12 | Advanced Micro Devices, Inc. | System and method for performing real time data acquisition, process modeling and fault detection of wafer fabrication processes |
US5889991A (en) * | 1996-12-06 | 1999-03-30 | International Business Machines Corp. | Method and system for customizing a palette using any java class |
US5862054A (en) * | 1997-02-20 | 1999-01-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Process monitoring system for real time statistical process control |
US6183345B1 (en) * | 1997-03-24 | 2001-02-06 | Canon Kabushiki Kaisha | Polishing apparatus and method |
US6175777B1 (en) * | 1997-04-17 | 2001-01-16 | Samsung Electronics Co., Ltd. | Method for transferring wafer cassettes after checking whether process equipment is in a suitable mode |
US6012048A (en) * | 1997-05-30 | 2000-01-04 | Capital Security Systems, Inc. | Automated banking system for dispensing money orders, wire transfer and bill payment |
US6345315B1 (en) * | 1997-08-13 | 2002-02-05 | Sudhindra N. Mishra | Method for platform and protocol independent communication between client-server pairs |
US6037664A (en) * | 1997-08-20 | 2000-03-14 | Sematech Inc | Dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer |
US6041270A (en) * | 1997-12-05 | 2000-03-21 | Advanced Micro Devices, Inc. | Automatic recipe adjust and download based on process control window |
US6178390B1 (en) * | 1997-12-26 | 2001-01-23 | Samsung Electronics Co., Ltd. | Method for controlling thicknesses of layers formed by deposition equipment for fabricating semiconductor devices |
US6363294B1 (en) * | 1997-12-30 | 2002-03-26 | International Business Machines Corporation | Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision |
US6192291B1 (en) * | 1998-01-14 | 2001-02-20 | Samsung Electronics Co., Ltd. | Method of controlling semiconductor fabricating equipment to process wafers of a single lot individually |
US6054379A (en) * | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
US6017771A (en) * | 1998-04-27 | 2000-01-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and system for yield loss analysis by yield management system |
US6169931B1 (en) * | 1998-07-29 | 2001-01-02 | Southwest Research Institute | Method and system for modeling, predicting and optimizing chemical mechanical polishing pad wear and extending pad life |
US6211094B1 (en) * | 1998-09-15 | 2001-04-03 | Samsung Electronics Co., Ltd. | Thickness control method in fabrication of thin-film layers in semiconductor devices |
US6197604B1 (en) * | 1998-10-01 | 2001-03-06 | Advanced Micro Devices, Inc. | Method for providing cooperative run-to-run control for multi-product and multi-process semiconductor fabrication |
US6210983B1 (en) * | 1998-10-21 | 2001-04-03 | Texas Instruments Incorporated | Method for analyzing probe yield sensitivities to IC design |
US6173240B1 (en) * | 1998-11-02 | 2001-01-09 | Ise Integrated Systems Engineering Ag | Multidimensional uncertainty analysis |
US6183564B1 (en) * | 1998-11-12 | 2001-02-06 | Tokyo Electron Limited | Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system |
US6172756B1 (en) * | 1998-12-11 | 2001-01-09 | Filmetrics, Inc. | Rapid and accurate end point detection in a noisy environment |
US6339727B1 (en) * | 1998-12-21 | 2002-01-15 | Recot, Inc. | Apparatus and method for controlling distribution of product in manufacturing process |
US6194231B1 (en) * | 1999-03-01 | 2001-02-27 | National Tsing Hua University | Method for monitoring polishing pad used in chemical-mechanical planarization process |
US20020032499A1 (en) * | 1999-04-13 | 2002-03-14 | Wilson Gregory J. | Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece |
US6334807B1 (en) * | 1999-04-30 | 2002-01-01 | International Business Machines Corporation | Chemical mechanical polishing in-situ end point system |
US6529789B1 (en) * | 1999-06-17 | 2003-03-04 | Advanced Micro Devices, Inc. | Method and apparatus for automatic routing for reentrant processes |
US6360133B1 (en) * | 1999-06-17 | 2002-03-19 | Advanced Micro Devices, Inc. | Method and apparatus for automatic routing for reentrant process |
US6204165B1 (en) * | 1999-06-24 | 2001-03-20 | International Business Machines Corporation | Practical air dielectric interconnections by post-processing standard CMOS wafers |
US6181013B1 (en) * | 1999-06-25 | 2001-01-30 | Taiwan Semiconductor Manufacturing Company | Method for selective growth of Cu3Ge or Cu5Si for passivation of damascene copper structures and device manufactured thereby |
US6210745B1 (en) * | 1999-07-08 | 2001-04-03 | National Semiconductor Corporation | Method of quality control for chemical vapor deposition |
US7174230B2 (en) * | 1999-07-29 | 2007-02-06 | Applied Materials, Inc. | Computer integrated manufacturing techniques |
US6503839B2 (en) * | 1999-08-11 | 2003-01-07 | Micron Technology, Inc. | Endpoint stabilization for polishing process |
US6417014B1 (en) * | 1999-10-19 | 2002-07-09 | Advanced Micro Devices, Inc. | Method and apparatus for reducing wafer to wafer deposition variation |
US6532555B1 (en) * | 1999-10-29 | 2003-03-11 | Advanced Micro Devices, Inc. | Method and apparatus for integration of real-time tool data and in-line metrology for fault detection in an advanced process control (APC) framework |
US6248602B1 (en) * | 1999-11-01 | 2001-06-19 | Amd, Inc. | Method and apparatus for automated rework within run-to-run control semiconductor manufacturing |
US6201208B1 (en) * | 1999-11-04 | 2001-03-13 | Wisconsin Alumni Research Foundation | Method and apparatus for plasma processing with control of ion energy distribution at the substrates |
US6355559B1 (en) * | 1999-11-18 | 2002-03-12 | Texas Instruments Incorporated | Passivation of inlaid metallization |
US6340602B1 (en) * | 1999-12-10 | 2002-01-22 | Sensys Instruments | Method of measuring meso-scale structures on wafers |
US20040020601A1 (en) * | 2000-02-10 | 2004-02-05 | Applied Materials, Inc. | Process and an integrated tool for low k dielectric deposition including a pecvd capping module |
US6517414B1 (en) * | 2000-03-10 | 2003-02-11 | Appied Materials, Inc. | Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus |
US20030020928A1 (en) * | 2000-07-08 | 2003-01-30 | Ritzdorf Thomas L. | Methods and apparatus for processing microelectronic workpieces using metrology |
US6708074B1 (en) * | 2000-08-11 | 2004-03-16 | Applied Materials, Inc. | Generic interface builder |
US6537912B1 (en) * | 2000-08-25 | 2003-03-25 | Micron Technology Inc. | Method of forming an encapsulated conductive pillar |
US6517413B1 (en) * | 2000-10-25 | 2003-02-11 | Taiwan Semiconductor Manufacturing Company | Method for a copper CMP endpoint detection system |
US6346426B1 (en) * | 2000-11-17 | 2002-02-12 | Advanced Micro Devices, Inc. | Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements |
US6535783B1 (en) * | 2001-03-05 | 2003-03-18 | Advanced Micro Devices, Inc. | Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework |
US6336841B1 (en) * | 2001-03-29 | 2002-01-08 | Macronix International Co. Ltd. | Method of CMP endpoint detection |
US20020139666A1 (en) * | 2001-03-29 | 2002-10-03 | Paul Hsueh | Adjustable shadow mask for improving uniformity of film deposition using multiple monitoring points along radius of substrate |
US20030020909A1 (en) * | 2001-04-09 | 2003-01-30 | Speedfam-Ipec Corporation | Method and apparatus for optical endpoint calibration in CMP |
US20030017256A1 (en) * | 2001-06-14 | 2003-01-23 | Takashi Shimane | Applying apparatus and method of controlling film thickness for enabling uniform thickness |
US20030049390A1 (en) * | 2001-06-19 | 2003-03-13 | Applied Materials, Inc. | Feedback control of plasma-enhanced chemical vapor deposition processes |
US20030049376A1 (en) * | 2001-06-19 | 2003-03-13 | Applied Materials, Inc. | Feedback control of sub-atmospheric chemical vapor deposition processes |
US7160739B2 (en) * | 2001-06-19 | 2007-01-09 | Applied Materials, Inc. | Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles |
US8070909B2 (en) * | 2001-06-19 | 2011-12-06 | Applied Materials, Inc. | Feedback control of chemical mechanical polishing device providing manipulation of removal rate profiles |
US8694145B2 (en) * | 2001-06-19 | 2014-04-08 | Applied Materials, Inc. | Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles |
US6678570B1 (en) * | 2001-06-26 | 2004-01-13 | Advanced Micro Devices, Inc. | Method and apparatus for determining output characteristics using tool state data |
US7337019B2 (en) * | 2001-07-16 | 2008-02-26 | Applied Materials, Inc. | Integration of fault detection with run-to-run control |
US6708075B2 (en) * | 2001-11-16 | 2004-03-16 | Advanced Micro Devices | Method and apparatus for utilizing integrated metrology data as feed-forward data |
US6515368B1 (en) * | 2001-12-07 | 2003-02-04 | Advanced Micro Devices, Inc. | Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper |
US6528409B1 (en) * | 2002-04-29 | 2003-03-04 | Advanced Micro Devices, Inc. | Interconnect structure formed in porous dielectric material with minimized degradation and electromigration |
Cited By (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8070909B2 (en) | 2001-06-19 | 2011-12-06 | Applied Materials, Inc. | Feedback control of chemical mechanical polishing device providing manipulation of removal rate profiles |
US8694145B2 (en) | 2001-06-19 | 2014-04-08 | Applied Materials, Inc. | Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles |
US20090263974A1 (en) * | 2001-08-28 | 2009-10-22 | Shusaku Kido | Substrate processing system for performing exposure process in gas atmosphere |
US20070300194A1 (en) * | 2006-06-21 | 2007-12-27 | Fujitsu Limited | Film thickness predicting program, recording medium, film thickness predicting apparatus, and film thickness predicting method |
US7752579B2 (en) * | 2006-06-21 | 2010-07-06 | Fujitsu Limited | Film thickness predicting program, recording medium, film thickness predicting apparatus, and film thickness predicting method |
US20090235865A1 (en) * | 2008-03-18 | 2009-09-24 | Hitachi Kokusai Electric Inc. | Substrate processing apparatus and substrate processing system |
US8271119B2 (en) * | 2008-03-18 | 2012-09-18 | Hitachi Kokusai Electric Inc. | Substrate processing apparatus and substrate processing system |
US20130046403A1 (en) * | 2008-03-18 | 2013-02-21 | Hitachi Kokusai Electric Inc. | Substrate Processing Apparatus and Substrate Processing System |
US8768502B2 (en) * | 2008-03-18 | 2014-07-01 | Hitachi Kokusai Electric Inc. | Substrate processing apparatus and substrate processing system |
US20160322239A1 (en) * | 2015-04-28 | 2016-11-03 | Applied Materials, Inc. | Methods and Apparatus for Cleaning a Substrate |
WO2022115328A1 (en) * | 2020-11-24 | 2022-06-02 | Applied Materials, Inc. | Feedforward control of multi-layer stacks during device fabrication |
Also Published As
Publication number | Publication date |
---|---|
US7201936B2 (en) | 2007-04-10 |
US20030049376A1 (en) | 2003-03-13 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US7201936B2 (en) | Method of feedback control of sub-atmospheric chemical vapor deposition processes | |
US6913938B2 (en) | Feedback control of plasma-enhanced chemical vapor deposition processes | |
US5751582A (en) | Controlling process modules using site models and monitor wafer control | |
US6410351B1 (en) | Method and apparatus for modeling thickness profiles and controlling subsequent etch process | |
US6387823B1 (en) | Method and apparatus for controlling deposition process using residual gas analysis | |
Edgar et al. | Automatic control in microelectronics manufacturing: Practices, challenges, and possibilities | |
US7894927B2 (en) | Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures | |
US7838072B2 (en) | Method and apparatus for monolayer deposition (MLD) | |
TW565878B (en) | Manufacturing method of semiconductor device | |
US20100036514A1 (en) | Creating Multi-Layer/Multi-Input/Multi-Output (MLMIMO) Models for Metal-Gate Structures | |
CN101853008A (en) | Method and system for tuning advanced process control parameters | |
WO2005098897A1 (en) | Method and system for control of processing conditions in plasma processing systems | |
US20060166501A1 (en) | Method and apparatus for monolayer deposition | |
WO2002065511A2 (en) | Method and apparatus for controlling etch selectivity | |
WO2003060990A1 (en) | Advanced process control (apc) of copper thickness for chemical mechanical planarization(cmp) optimization | |
US7289865B2 (en) | Optimization algorithm to optimize within substrate uniformities | |
US6511898B1 (en) | Method for controlling deposition parameters based on polysilicon grain size feedback | |
Mozumder et al. | A monitor wafer based controller for semiconductor processes | |
US10847352B2 (en) | Compensating chamber and process effects to improve critical dimension variation for trim process | |
US7509186B2 (en) | Method and system for reducing the variation in film thickness on a plurality of semiconductor wafers having multiple deposition paths in a semiconductor manufacturing process | |
US11078570B2 (en) | Azimuthal critical dimension non-uniformity for double patterning process | |
Collins et al. | A CFD model for the PECVD of silicon nitride | |
US20230002901A1 (en) | Pressure batch compensation to stabilize cd variation for trim and deposition processes | |
TW202324173A (en) | Coded substrate material identifier communication tool | |
US7473566B1 (en) | Method and apparatus for controlling a film formation process with multiple objectives |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AS | Assignment |
Owner name: ADOBE SYSTEMS INCORPORATED, CALIFORNIA Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:OMNITURE, INC.;REEL/FRAME:023538/0077 Effective date: 20091112 Owner name: ADOBE SYSTEMS INCORPORATED,CALIFORNIA Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:OMNITURE, INC.;REEL/FRAME:023538/0077 Effective date: 20091112 |
|
STCB | Information on status: application discontinuation |
Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE |