US20040083021A1 - Multi-tool control system, method and medium - Google Patents

Multi-tool control system, method and medium Download PDF

Info

Publication number
US20040083021A1
US20040083021A1 US10/686,589 US68658903A US2004083021A1 US 20040083021 A1 US20040083021 A1 US 20040083021A1 US 68658903 A US68658903 A US 68658903A US 2004083021 A1 US2004083021 A1 US 2004083021A1
Authority
US
United States
Prior art keywords
tool
output
wafer
functional unit
function
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/686,589
Inventor
Sasson Somekh
Howard Grunes
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/686,589 priority Critical patent/US20040083021A1/en
Publication of US20040083021A1 publication Critical patent/US20040083021A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Definitions

  • the present invention relates to the control of tools and the communication among tools in a multi-tool semiconductor processing environment. More specifically, embodiments of the present invention relate to a system, method and medium for control of and communication among wafer processing tools in a wafer processing environment.
  • a facility for the production of semiconductor products will typically contain multiple tools, each for performing one or more of a variety of functions.
  • a wafer is being processed into items such as logic (e.g., central processing units) or memory (e.g., DRAMs) units
  • each tool performs some specified function on the wafer, and then the wafer is passed on to the next tool.
  • the final product output i.e., final state of the wafer, in this example, eventually gets cut up into individual chips, e.g., Central Processing Units, DRAM's, etc.
  • FIG. 1 An example of a conventional semiconductor manufacturing facility is now described with regard to FIG. 1.
  • a host computer 104 is shown as being in communication and control of the various aspects of the semiconductor manufacturing facility. More specifically, host computer 104 is in communication with Tools 1 - 3 ( 112 - 116 , respectively) used to process (or inspect) semiconductor products.
  • Tools 1 - 3 112 - 116 , respectively
  • Tool 1 might be a deposition tool
  • Tool 2 ( 114 ) might be a chemical mechanical polishing (CMP) tool.
  • CMP chemical mechanical polishing
  • station controller For each tool shown in FIG. 1, there exists an associated station controller ( 106 - 110 ). These station controllers are used to facilitate the communication between the tools ( 112 - 116 ) and the host computer 104 . Since the tools often have disparate protocols, it becomes necessary to implement the station controllers ( 112 - 116 ) to allow the tools to communicate using protocol common to the semiconductor processing facility, and thus communicate with the host computer 104 . Such common protocols that may be used to ultimately communicate with the host computer 104 include SECS/GEM and HSMS.
  • host computer 104 is also in communication with a material transport control 102 , which controls an external material transport system 118 .
  • the external material transport system 118 is what physically transports the semiconductor products (at their various stages of production) from one tool to another. (Typically, the semiconductor products are contained in cassettes, boxes or pods of 25 units.) Consequently, a semiconductor “tool” can be defined as a device that performs a given function or functions on a given semiconductor product (e.g., a wafer), whereby some external material transport system is required to transport the semiconductor product to and from the tool (and, thus, from and to other tools).
  • a “recipe” is a sequence of steps that one or more semiconductor products are directed to go through within a given tool and/or series of tools.).
  • a recipe needs to be modified for a particular purpose (e.g., one or more individual semiconductor products needs to be specially treated)
  • the entire recipe would become corrupt (e.g., the recipe would be changed and also there is no tracking or recording of the modifications made to the recipe for the individual semiconductor products. Consequently, what is needed is a scheme to systematically implement, track and record modifications made to an initial recipe for particular individual semiconductor products (e.g., such as semiconductor wafers) without corrupting the entire recipe.
  • Another deficiency with conventional schemes relates to determining whether a tool or set of tools, each capable of producing a number of different products (e.g., such as particular types of central processing units) and/or capable of implementing a number of different steps is prepared to produce a particular semiconductor product that has been requested by the semiconductor processing facility (e.g., requested by the host computer 104 ) and/or is prepared to implement required/requested step(s).
  • Knowledge of such information is clearly important so that proper planning can be undertaken before materials are sent to the various appropriate tools in the semiconductor processing facility. Consequently, what is needed is a scheme for determining whether a tool or series of tools are ready for the production of a particular semiconductor product and/or for the implementation of required/requested steps.
  • Knowledge of related information such as when a tool or tools will be undergoing some type of maintenance (such as, e.g., preventive maintenance), is also desirable to obtain in conjunction with whether one or more tools are ready for producing a given semiconductor product.
  • Yet another problem with conventional schemes relates to conveying historical (and related) information specifically regarding one or more semiconductor products to specific tools within the semiconductor processing facility as the semiconductor product(s) travel to those tools for processing or inspection. While conventional schemes can convey process or inspection information about semiconductor product(s) to the host computer 104 (for use in any number of disparate ways), these schemes do not actually and automatically associate information about the semiconductor product with the semiconductor product as it travels through the semiconductor processing facility or make this information available to process and inspection tools. Consequently, what is needed is a scheme for associating historical (and related) information with a semiconductor product as it travels (and is processed) through a semiconductor processing facility.
  • the resultant semiconductor product typically contains at least some variance (e.g., in terms of crystalline structure and/or physical specification) from what is optimally desired. This variance can occur due to any number of factors, including 1) that parts of the tool are wearing down and/or, 2) that the tool is in a foundry environment, where it is requested to participate in the production of many different products over a relatively short amount of time (and the switching from one product to another does not, e.g., fully recalibrate certain aspects of the tool).
  • This variance can occur due to any number of factors, including 1) that parts of the tool are wearing down and/or, 2) that the tool is in a foundry environment, where it is requested to participate in the production of many different products over a relatively short amount of time (and the switching from one product to another does not, e.g., fully recalibrate certain aspects of the tool).
  • the present invention alleviates the deficiencies of the prior schemes mentioned above by providing a system, method and medium for facilitating communication among tools in a semiconductor (e.g., wafer) processing facility.
  • the present invention provides greater control of the overall semiconductor product output of groups of tools in terms of the quantity and/or quality of a final semiconductor product.
  • Embodiments of the present invention contemplate that this is implemented by providing enhanced communication among a group of tools which form a “module” (where the module is contemplated to provide some designated function or functions).
  • This communication can be facilitated via a module control mechanism, which could be a separate “module controller,” and/or computer/communications facilities residing in the individual tools themselves.
  • This enhanced communication allows for more effective feedback and feed forward capabilities so that variations found in a particular semiconductor product can effectively and automatically trigger appropriate compensation mechanisms.
  • the present invention contemplates implementing the above-mentioned concepts by providing that modifications to a recipe can be made to one or more semiconductor products without it affecting (e.g., corrupting) the entire recipe. Also, such special modifications are recorded, so that they can be noted by subsequent (or previous) tools. As part of (or possibly separately from) this, the present invention also contemplates that a “traveling information” file can be associated with one or more wafers, and travel with the one or more wafers throughout the semiconductor processing facility.
  • the present invention also provides facilities to query one or more tools to determine whether or not the tools are ready for the production of a specified semiconductor product (and when in the tool's maintenance cycle some type of maintenance is scheduled to occur) and/or for the implementation of required/requested steps so that appropriate actions can be taken.
  • FIG. 1 is a block diagram showing a conventional semiconductor processing facility.
  • FIG. 2 is a block diagram depicting an exemplary module configuration of tools, as contemplated by embodiments of the present invention.
  • FIG. 3 shows a flow diagram depicting a method of operation for implementing various tool-related communication schemes as contemplated by embodiments of the present invention.
  • FIG. 4 a illustrates three possible states of a tool in response to a tool status request.
  • FIG. 4 b depicts exemplary steps for querying (and receiving information from) a tool, as contemplated by embodiments of the present invention.
  • FIG. 5 is a block diagram depicting a traveling information file associated with one or more wafers, as contemplated by embodiments of the present invention.
  • FIG. 6 depicts an exemplary format of the traveling information file.
  • FIG. 7 depicts an exemplary hierarchy of IDs.
  • FIGS. 8 a and 8 b depict exemplary scenarios for communications involving the transport of materials through the semiconductor processing facility.
  • FIG. 9 depicts an exemplary form of information relating to wafers in a “cassette.”
  • FIG. 10 depicts an exemplary computing device which can exist as (or be a part of) various entities described herein, including the host computer, tools and module controller.
  • the present invention relates to the control of tools and the communication among tools in a multi-tool semiconductor processing environment. More specifically, embodiments of the present invention relate to a system, method and medium for control of and communication among wafer processing tools in a wafer processing environment.
  • aspects of the present invention relate to facilitating communication between two or more tools in a wafer processing facility for the purpose of synergistically achieving a greater degree of control of the quality and/or quantity of the combined, final output of the tools (e.g., in a pre-set or user-specified manner).
  • these tools are grouped together into “modules” for performing certain specified functions.
  • modules for performing certain specified functions.
  • embodiments of the present invention contemplate the use of a “module controller,” which is envisioned to be separate from (but is contemplated to be in communication with) a host computer.
  • the module controller may be a separate entity and/or some or all of its functionality can reside in the tools, themselves.
  • tool 1 ( 204 ) and tool 2 ( 206 ) are depicted to be part of a module 218 (where the collection of tools within module 218 is envisioned to perform one or more specified overall functions).
  • each of tools 1 and 2 ( 204 and 206 , respectively) contains a communication control ( 210 and 214 , respectively) which enables each of the tools ( 204 and 206 ) to communicate with each other directly (e.g., via a communication link 220 ) without the use of a separate module controller 216 .
  • the tools contain sufficient “intelligence” (e.g., the tools have a built-in computer mechanism within communication control 210 and/or 214 to process and communicate information relating to the wafers processed by the tools).
  • This intelligence allows the tools to communicate directly with each other, utilizing at least some of the various protocols and techniques as described herein.
  • this intelligence can reside in any one tool or it can be distributed in some manner among the various communication controls (e.g., 210 and 214 ) of the tools.
  • tools 1 and 2 will depend on various information (e.g., initial recipes) being received directly from the host computer (e.g., via host communication 208 and 212 , and/or through a traveling information file as described below), since a separate module controller 216 would not be used.
  • initial recipes e.g., initial recipes
  • modules controller 216 that exists as a separate entity from the tools ( 204 and 206 ).
  • the host computer 202 is in communication with the module controller 216
  • the module controller is in communication with the tools ( 204 and 206 ).
  • the host computer 202 is generally contemplated as being used to control the overall function of the wafer processing facility (of which the module 218 is at least a part) and is in communication with that part of the module 218 that, e.g., receives instructions regarding product recipes or conveys tool status.
  • host 202 exists and functions separately from the module controller 216 and from the tool-to-tool communication functionality thereof that might otherwise exist in the tools.
  • a unifying protocol between the various components of the wafer processing facility alleviates the need to use station controllers, as described previously.
  • Embodiments of the present invention contemplate that at least a part of the purpose of the host computer 202 is to convey or select initial recipes for the tools, and also query the tools and initiate the production of a requested product using the tools.
  • host computer 202 has at least some control with regard to any external material transport system that may be in use.
  • the dotted lines in FIG. 2 indicate connections and devices that may or may not exist depending particularly upon whether or not there is a separate module controller 216 being used (i.e., depending upon the particular embodiment contemplated).
  • a “module” can be a set, physical entity (e.g., three tools and a module controller) that is put together in a kind of discrete package to perform a pre-set function and/or a module can be defined within a multi-tool semiconductor processing environment (e.g., three existing tools in a factory can be chosen to perform a given function and caused to communicate to facilitate performance of that function) or, three tools can be dispersed within the factory and a wafer routed therethrough to facilitate a series of prequalified steps leading to a known overall result. It should also be understood that either of the above possibilities contemplate embodiments that use, and that do not use, a separate module controller 216 .
  • Embodiments of the present invention envision that any number of different types of tools could be used with any of the various “module” schemes described above (or in other non-module setting contemplated herein).
  • a specific example of a module contemplated by embodiments of the present invention is one that envisions the usage of copper in the production of a wafer, for example to fill features such as vias, trenches and/or contacts which extend through an insulative layer previously deposited and etched while on the wafer.
  • the exemplary tools that could be used in this module include 1) a “sputtering” tool to deposit a liner layer and a seed layer onto a wafer and the features in a film layer thereon for facilitating the further deposition of copper, 2) an “electroplating” tool to deposit copper onto the wafer to fill the features, and 3) a chemical/mechanical/polishing (CMP) tool to remove excess material after the electroplating process has been completed to facilitate further processing of the wafer.
  • CMP chemical/mechanical/polishing
  • Some embodiments of the present invention contemplate the use of a separate “metrology” tool to measure the thickness of the copper to determine how much polishing needs to be done by the CMP tool.
  • the “metrology” function can also be incorporated into one of the aforementioned tools, such as the CMP or electroplating tool, itself, in the form of, e.g., a metrology station.
  • the measuring of thickness and/or uniformity of a film in this exemplary case, a copper film
  • that measurement information to determine the polishing that is needed are characteristic aspects contemplated by embodiments of the present invention.
  • the measurement of thickness and/or uniformity of a film within a multi-function (e.g., cluster) tool by a first functional unit and use of that measurement information to adjust a second functional unit (e.g., a polishing unit) within that same tool is also an aspect contemplated by various embodiments of the present invention.
  • a second functional unit e.g., a polishing unit
  • many of the characteristics and features described herein are applicable to this multi-function tool embodiment.
  • a “module” is one that uses a set of tools to perform a “deposition/etch” function.
  • exemplary tools include 1) a deposition tool for dielectric film deposition, 2) a photolithography tool, 3) an etching tool, and 4) an inspection tool to inspect the results of the etching.
  • the inspection tool if inspection of a wafer by the inspection tool indicates that any of the previously-mentioned tools did not function as expected, then feedback can be given to those tools so that they can recalibrate themselves to produce a more desirable result for subsequent wafers that will go through the process.
  • enhanced communication (whether facilitated by a module controller 216 or “intelligence” in the tools) thus facilitates enhanced quality of the wafers.
  • the tools can be made by any number of companies, such as Applied Materials of Santa Clara, Calif. or Nikon Corporation of Tokyo, Japan.
  • the various embodiments mentioned above e.g., use of the module controller 216 or enhanced intelligence implemented within communication control 210 , 214
  • Some specific examples of tools manufactured by Applied Materials that can be used in the “deposition/etch” example mentioned above are as follows: the “dielectric deposition” tool can be the “Applied Producer” tool, the etch tool can be the “Centura Etch,” and the inspection tool can be the “Applied CD SEM” tool.
  • module controller 216 and/or communication control ( 210 and 214 ) in tools 1 and 2 and/or host computer 202 can contain standard computer components (such as those found in PC compatible processors) such as Pentium processors from Intel Corporation of Santa Clara, Calif.). (This is also discussed further below with regard to FIG. 10.)
  • the present invention contemplates the use of various embodiments to assist in facilitating the communication schemes (and other envisioned aspects) described above with regard to FIG. 2. It should be understood, however, that these various embodiments are, themselves, also contemplated for use separately from any use that may be associated with the “modules” as indicated above (and in some instances may not even be applicable to the module scheme). These various embodiments are now described below.
  • the first step is that wafers are dispositioned (i.e., committed to production), as indicated by a block 302 .
  • the semiconductor processing facility or some portion thereof) dispositions wafers (in some initial or intermediate state) to be processed into some finished (or at least intermediate) product.
  • the next step is that a request is forwarded to the tool(s) in the wafer processing facility to produce a specified product, as indicated by a block 304 .
  • the tools receiving this request can, e.g., be part of a “module.”
  • a request could be forwarded, for example, by a host computer.
  • the next step is to determine whether the tool(s) are ready to produce the specified product, such as a specific film layer having specified characteristics or features, re, crystalline structure, refluctivity, flatness, etc., as indicated by a decision block 306 .
  • the specified product such as a specific film layer having specified characteristics or features, re, crystalline structure, refluctivity, flatness, etc.
  • a determination can be made regarding whether one or more tools are ready to implement some specifically requested or required step or steps.
  • a tool may not be ready to produce a product for any number of reasons, including that the tool is currently only ready to produce an entirely different product (where the tool is capable of producing multiple products) or that the tool is off-line because it is undergoing maintenance.
  • any number of actions can be taken, including waiting until the tool (or tools) is ready to produce a specified product and/or notify the user of the status of the tool and/or run some specified program which will take some designated action. This is indicated by a block 308 .
  • one or more initial recipes can be accessed (e.g., requested) by the appropriate tools or forwarded to the tools by a host computer, so that the tools will process the wafers as instructed. This is indicated by a block 310 . Then, the next step is to begin processing wafers according to one or more recipes, as indicated by block 312 .
  • the remaining wafers are still processed in accordance with the initial recipes steps. Any special modifications that were made to any of the wafers are recorded for subsequent potential retrieval so that the history of any of the specially modified wafers can be ascertained (e.g., by a subsequent tool or the host). In this way, modifications are implemented and kept track of, while the initial recipe is kept intact for the remaining wafers that were not in need of any special modification.
  • embodiments of the present invention contemplate the use of tools capable of potentially participating in the manufacture of any number of different products.
  • those tools involved in the production process are capable of receiving certain types of commands from, and conveying status (e.g., availability) information to, some central command/initiation computer such as a host computer.
  • status inquiry may be undertaken with regard to whether one, several, or an entire factory of tools are currently “ready” for the production of a specified product.
  • any given product that can be manufactured by the wafer processing facility has a specified Product ID associated with it.
  • a status request is sent (e.g., by a host computer) to determine whether a tool (and/or all tools that would be involved in the process) are ready to participate in the manufacture of the desired product.
  • a “tool status” is then returned for each tool, indicating the status of the particular tool for the request as given.
  • FIG. 4 a An exemplary form of the “tool status” that is returned by a tool as contemplated by embodiments of the present invention is shown at FIG. 4 a .
  • this example depicts three different possible states that a given tool (having a specified “Tool ID”) can have (in actual use, it is envisioned that only one of these states is actually returned by the tool). In state one, the tool has indicated that it is ready to participate in the production of the product that has been requested.
  • this state When this state is returned, it is returned with certain other items of information, including the time until the tool becomes inactive due, e.g., to the fact that it undergoes some type of maintenance (e.g., preventive maintenance [pm]), and the number of wafers that the tool may process before the maintenance occurs.
  • this information can be important since, even if the tool indicated that it is “ready for production” of a particular product, it may be scheduled to undergo maintenance in a short period of time.
  • the controlling entity e.g., host computer
  • a second possible state that can be returned (as shown in this example of FIG. 4 a ) is one where the tool is currently down for maintenance.
  • an item of information returned with that state includes the time remaining until the tool is back up for production.
  • a third possible state that can be returned as contemplated by embodiments of the present invention is that the tool is “currently running” some other job (e.g., involved in the production of some other product). In that state, it is contemplated that the number of wafers before completion of the currently-running job is returned, as well as a time and number of wafers until maintenance. In addition, embodiments of the present invention also contemplate that, where a particular product requested is not the same as the one currently running and some time is required to re-set the tool in order for it to participate in making the requested product, then that amount of time will also be returned.
  • the present invention contemplates the usage of any number of different states and/or the ability to return and process any number of different items of information.
  • the information returned in a “tool status” can indicate which of possibly multiple steps that the tool performs in its participation of making a given product are “ready.”
  • a particular tool may implement three different steps while participating in the production of a particular product, but at a given point in time the tool may be ready to implement only two of them.
  • some central command (e.g., host) computer could also directly poll a tool as to whether it is ready to implement some specified step that the tool may generally be capable of implementing.
  • control entity e.g., host computer
  • sends out a tool status request as indicated by a step 1 .
  • This can be in the form of a list of one or more product ID's sent to a single tool, across two or more tools, or even to all tools in a wafer processing facility.
  • Step 2 indicates that the “tool status” has been sent by the tool to the control entity (e.g., host ) (e.g., as was discussed with regard to FIG. 4 a above).
  • the control entity e.g., host
  • a “tool service request” is initiated (containing the relevant product ID and/or tool ID's) to initiate the manufacture of the product or to perform some tool service (e.g., maintenance). Since various events could occur between the time that the “tool status” of step 2 is received and the time that the tool service request is initiated (e.g., a tool could have broken down), embodiments of the present invention contemplate that the host computer then waits to receive an indication whether the tool service request has been granted or rejected, as indicated by step 4 . If service is “granted,” the tool service will start, as indicated by step 5 . (Otherwise, if service is rejected, or if no response to the tool service request is received (and a “time-out” occurs), then the tool service will not be initiated.)
  • tool service has been initiated, then when completed, the tool will send, e.g., the host computer a “tool service completed” message, as indicated by step 6 .
  • Embodiments of the present invention contemplate that historical information pertaining to groups (e.g., “cassettes”) of wafers or even to a single wafer be recorded, and that this information “follow” the wafers (or wafer) through the journey through the wafer processing facility.
  • groups e.g., “cassettes”
  • this recorded information will be following the wafer to a subsequent tool, where appropriate compensation for the variation can take place.
  • the information associated with a given wafer indicates that it was heated to a less than adequate temperature within a certain tool
  • a subsequent tool receiving the wafer may be able to utilize this recorded information to compensate for the effects of reduced temperature.
  • FIG. 5 A scheme for implementing the wafer information recordation as described above is depicted by FIG. 5.
  • a Wafer X is shown as being conveyed from a tool 1 ( 502 ) to a tool 2 ( 504 ) via an external material transport system 510 , which may be either manual or automated.
  • a traveling information file (referred to in this example here as “Wafer X file”) 506 is also conveyed via a communication link 508 from tool 1 ( 502 ) to tool 2 ( 504 ).
  • Wafer X file a traveling information file 506 is also conveyed via a communication link 508 from tool 1 ( 502 ) to tool 2 ( 504 ).
  • tool 2 could automatically be passed the Wafer X file, or that it would request the Wafer X file upon receipt of Wafer X. In the latter case, such request could be made directly of tool 1 and/or of some module control mechanism. In either case, control of the Wafer X file gets transferred to tool 2 .
  • the Wafer X file 506 mentioned above can contain any number of different items of information which may be relevant in the processing of a wafer (to make a desired product) as it is processed by the appropriate tools in the wafer processing facility. As indicated by Wafer X file 506 , such information can be “feed forward information,” meaning that it can contain information which indicates how the Wafer X should be treated differently than would otherwise be indicated by the initial recipes. Depending upon the variation as recorded in the wafer history (i.e., in the Wafer X file), deviations from the initial recipe(s) can be a difference in one step on a single tool, or multiple steps over several tools. Generally, it is envisioned that whatever corrective measures need to be taken to compensate for the variation would be implemented.
  • tool 2 can be a CMP apparatus
  • tool 1 can be a metrology device that can generate information about a wafer and store it in the traveling information file.
  • a thickness or uniformity profile e.g., an indication of the thickness or uniformity of a wafer layer as a function of the position on the wafer
  • the CMP apparatus can then use that information to improve the polishing uniformity and compensate for variations that occurred at previous tools.
  • the CMP apparatus can use the feed forward information to determine a plurality of pressures that will be applied to the different radial regions of the wafer.
  • material may be preferentially removed from the thick region, thereby improving the planarity of the resulting wafer and compensating for variations in a prior tool.
  • An example of a chemical mechanical polishing system that can apply preferential pressures to a wafer is described in provisional U.S. Application Serial No. 60/143,219, filed Jul. 9, 1999, the entire disclosure of which is incorporated by reference.
  • FIG. 5 While the description of FIG. 5 above has been in terms of a single wafer, it should be understood that the present invention also contemplates that the history of a group of wafers (e.g., a cassette or lot of wafers), to the extent that they have been treated substantially the same in at least certain instances, can also be recorded in a traveling information file and follow the group as it travels through the wafer processing facility.
  • a group of wafers e.g., a cassette or lot of wafers
  • feedback information can be utilized.
  • feedback information 512 can be sent from tool 2 to tool 1 indicating to tool 1 that certain aspects of tool 1 need to be adjusted.
  • this feedback can, in effect, be in the form of a copy of the traveling information file 506 .
  • Embodiments of the present invention also contemplate that various concepts discussed herein, and particularly those relating to FIG. 5 above, are also applicable with regard to the measurement of thickness and/or uniformity of a film within a multi-function (e.g., cluster) tool.
  • a first functional unit within a cluster tool can obtain measurement information relating to the thickness and/or uniformity of a wafer, and convey that information to a second functional unit within the cluster tool (e.g., one that performs a polishing function).
  • the second functional unit can then (if needed or desired) adjust its operation (e.g., the amount of polishing) in accordance with the received measurement information.
  • a Tool ID indicates the given tool for which a set of actions (e.g., recipe steps) are to be taken.
  • a set of actions is contemplated to exist in the traveling information file for each tool that will be used to process each wafer (having a specified Wafer ID) to create the desired product.
  • n Steps associated with the process, each of which is associated with a recipe.
  • n “initial” recipes are to be implemented by the tool having “Tool ID” as shown.
  • parameters associated with the wafer are also recorded.
  • the “parameters” represent those specific aspects to be implemented by the tool that are variations from the initial recipe. For example, if a particular Tool ID represented a polishing tool, and the wafer at issue needed an additional 10 seconds of polishing beyond what was otherwise prescribed by the relevant recipe, the need for the extra 10 seconds would be recorded into the “parameters” associated with the recipe. Thus, the “parameters” are calculated (e.g., by one of the tools), and recorded in the course of the wafer traveling through the wafer processing facility.
  • the “data list” is envisioned to contain any number of items of data that may pertain to the wafer, such as temperature of the wafer at certain times in the wafer's history, wafer thickness, uniformity, etc. It is envisioned that it is the information in this data list that is used, for example, to determine whether the wafer needs to undergo treatment different from that prescribed by the initial recipe (thus causing additional information to be entered into the “parameters”).
  • a tool in a wafer processing facility will receive wafers in various sized groups. Often, wafers will be sent to a tool in groups of one or more “cassettes,” (comprising typically 25 wafers). Each cassette can have its own “Material ID (cassette ID)” associated with it. A “lot” (consisting of a number of wafers) will typically comprise multiple cassettes (or portions of a cassette), and can have their own associated “Lot ID.” Finally, a “wafer” can, itself, have its own individual Wafer ID.
  • FIG. 8 a depicts an exemplary scenario for the steps involved in the delivery of material (e.g., cassettes of wafers) from a material transport system (where the communicated information and/or materials emanate from a host computer/delivery system and/or another tool) to a tool, while FIG.
  • FIGS. 8 a and 8 b depicts an exemplary scenario for the steps involved in the retrieval of materials from a tool.
  • wafers at the cassette level i.e., whole cassettes of wafers, each having a cassette ID
  • FIGS. 8 a and 8 b depict wafers at the cassette level (i.e., whole cassettes of wafers, each having a cassette ID) are what are being transported and queried. It should be understood, however, that any number of other types of scenarios, steps, and groupings of wafers are also contemplated for use with, and in environments of, the present invention.
  • FIG. 9 depicts information regarding a cassette of wafers (having a particular cassette ID). This information can be conveyed to a tool so that the tool can associate a particular wafer with its wafer ID, as well as identify which physical “slot” in a cassette the particular wafer having a given wafer ID is located at. In this way, when a tool needs to, for example, implement (or modify) one or more steps in a different way from that which is otherwise dictated by a given recipe, the tool will know which “slot” the relevant wafer is in when the cassette is delivered from or removed from the tool.
  • Embodiments of the present invention contemplate potentially operating with tools that may place a given wafer in a different cassette than the one it entered the tool in. However, where this is the case, the present invention contemplates that this occurrence would be anticipated and kept track of, so that any appropriate information corresponding to a given wafer continues to be associated with that wafer.
  • Embodiments of the present invention contemplate the use of various computers and computer components either as, or as a part of, various entities such as the host computer, tools and/or module controllers, and/or for use in environments therewith.
  • An exemplary depiction of such a computing device that could be used with embodiments of the present invention is shown at FIG. 10.
  • CPU(s) 1004 are shown to be in communication with a memory/storage device 1006 via bus 1002 .
  • CPU(s) 1004 can be any number of different types of processors, including those manufactured by Intel Corporation or Motorola of Schaumberg, Ill.
  • the memory/storage device 1006 can be any number of different types of memory devices such as DRAM and SRAM as well as various types of storage devices, including magnetic and optical media, and that the memory/storage device 1006 can also take the form of a communications transmission.
  • a display device 1008 is also shown, which could be any number of devices conveying visual and/or audio information to a user. Also in communication with bus 1002 is an I/O interface 1010 for allowing the computing device 1000 to interface with other devices, such as host computers, tools or module controllers, depending upon which device the computing device 1000 (or portion thereof) represents.
  • I/O interface 1010 for allowing the computing device 1000 to interface with other devices, such as host computers, tools or module controllers, depending upon which device the computing device 1000 (or portion thereof) represents.
  • the computing device 1000 can be an off-the-shelf device such as a personal computer (e.g., an Intel-based device), or can be merely components on a “rack.” Any number of operating systems, such as NT from Microsoft Corporation can be used. Also, it is further contemplated that computing device 1000 (and/or various components thereof) are connected via 1 / 0 1010 using, e.g., the communications mechanisms as generally described above, which may comprise networking mechanisms and protocols such as DCOM, the HSMS protocol standard used by SECS/GEM, and/or network operating systems such as NT or Novell from Novell, Inc. of Provo, Utah.
  • the communications mechanisms as generally described above, which may comprise networking mechanisms and protocols such as DCOM, the HSMS protocol standard used by SECS/GEM, and/or network operating systems such as NT or Novell from Novell, Inc. of Provo, Utah.

Abstract

A system, method and medium for facilitating communication between tools in a semiconductor (e.g., wafer) processing facility. In particular, the present invention provides greater control of the overall semiconductor product output of groups of tools in terms of the quantity and/or quality of a final semiconductor product.

Description

    RELATED APPLICATION
  • This application is a continuation of U.S. patent application Ser. No. 09/469,227, filed Dec. 22, 1999, which is incorporated herein by reference.[0001]
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0002]
  • The present invention relates to the control of tools and the communication among tools in a multi-tool semiconductor processing environment. More specifically, embodiments of the present invention relate to a system, method and medium for control of and communication among wafer processing tools in a wafer processing environment. [0003]
  • 2. Related Art [0004]
  • In today's semiconductor manufacturing environment, a facility for the production of semiconductor products (such as, e.g., wafers) will typically contain multiple tools, each for performing one or more of a variety of functions. Thus, where a wafer is being processed into items such as logic (e.g., central processing units) or memory (e.g., DRAMs) units, each tool performs some specified function on the wafer, and then the wafer is passed on to the next tool. (The final product output, i.e., final state of the wafer, in this example, eventually gets cut up into individual chips, e.g., Central Processing Units, DRAM's, etc.) [0005]
  • An example of a conventional semiconductor manufacturing facility is now described with regard to FIG. 1. Referring now to FIG. 1, a [0006] host computer 104 is shown as being in communication and control of the various aspects of the semiconductor manufacturing facility. More specifically, host computer 104 is in communication with Tools 1-3 (112-116, respectively) used to process (or inspect) semiconductor products. Thus, for example, Tool 1 (112) might be a deposition tool, while Tool 2 (114) might be a chemical mechanical polishing (CMP) tool.
  • For each tool shown in FIG. 1, there exists an associated station controller ([0007] 106-110). These station controllers are used to facilitate the communication between the tools (112-116) and the host computer 104. Since the tools often have disparate protocols, it becomes necessary to implement the station controllers (112-116) to allow the tools to communicate using protocol common to the semiconductor processing facility, and thus communicate with the host computer 104. Such common protocols that may be used to ultimately communicate with the host computer 104 include SECS/GEM and HSMS.
  • In addition, [0008] host computer 104 is also in communication with a material transport control 102, which controls an external material transport system 118. The external material transport system 118 is what physically transports the semiconductor products (at their various stages of production) from one tool to another. (Typically, the semiconductor products are contained in cassettes, boxes or pods of 25 units.) Consequently, a semiconductor “tool” can be defined as a device that performs a given function or functions on a given semiconductor product (e.g., a wafer), whereby some external material transport system is required to transport the semiconductor product to and from the tool (and, thus, from and to other tools).
  • Various deficiencies have been found to exist using the conventional semiconductor factory scheme as described above. These deficiencies typically relate to the problems associated with communication and control of the tools, and can have effects on both the quantity and quality of the final (and intermediate) semiconductor products. Some of these deficiencies are described below. [0009]
  • Conventional semiconductor processing facilities contain tools whose individual output (in terms of quantity and/or quality) is controllable, and can be set to some amount/specification for a given tool. However, each tool is just one part of the overall wafer production process. Furthermore, the output of a given tool typically results in at least some variation from wafer to wafer. Consequently, in order to accurately control the quality and quantity of the final output resulting from the work of multiple tools, it would be desirable to effectively coordinate the efforts of the multiple tools by, e.g., facilitating enhanced communication to and between tools. This would more readily facilitate, for example, 1) allowing a tool to send information forward to a second tool to compensate for the variations in the output (in terms of quantity and/or quality) of the previous tool, and/or 2) allowing a tool to notify a previous tool of a variation so that the previous tool can compensate by modifying its procedures for the benefit of subsequently-processed products. However, protocols (which are currently very host-centric) do not currently exist to readily facilitate communication among tools. Consequently, what is needed is a scheme to facilitate communication between two or more tools so that the final product output from a combination of tools can be more accurately controlled, adjusted and predicted. [0010]
  • Another problem with conventional semiconductor processing facilities relates to the modification of recipes for particular semiconductor products being processed in the semiconductor processing facility. (A “recipe” is a sequence of steps that one or more semiconductor products are directed to go through within a given tool and/or series of tools.). Conventionally, if a recipe needs to be modified for a particular purpose (e.g., one or more individual semiconductor products needs to be specially treated), the entire recipe would become corrupt (e.g., the recipe would be changed and also there is no tracking or recording of the modifications made to the recipe for the individual semiconductor products. Consequently, what is needed is a scheme to systematically implement, track and record modifications made to an initial recipe for particular individual semiconductor products (e.g., such as semiconductor wafers) without corrupting the entire recipe. [0011]
  • Another deficiency with conventional schemes relates to determining whether a tool or set of tools, each capable of producing a number of different products (e.g., such as particular types of central processing units) and/or capable of implementing a number of different steps is prepared to produce a particular semiconductor product that has been requested by the semiconductor processing facility (e.g., requested by the host computer [0012] 104) and/or is prepared to implement required/requested step(s). Knowledge of such information is clearly important so that proper planning can be undertaken before materials are sent to the various appropriate tools in the semiconductor processing facility. Consequently, what is needed is a scheme for determining whether a tool or series of tools are ready for the production of a particular semiconductor product and/or for the implementation of required/requested steps. Knowledge of related information, such as when a tool or tools will be undergoing some type of maintenance (such as, e.g., preventive maintenance), is also desirable to obtain in conjunction with whether one or more tools are ready for producing a given semiconductor product.
  • Yet another problem with conventional schemes relates to conveying historical (and related) information specifically regarding one or more semiconductor products to specific tools within the semiconductor processing facility as the semiconductor product(s) travel to those tools for processing or inspection. While conventional schemes can convey process or inspection information about semiconductor product(s) to the host computer [0013] 104 (for use in any number of disparate ways), these schemes do not actually and automatically associate information about the semiconductor product with the semiconductor product as it travels through the semiconductor processing facility or make this information available to process and inspection tools. Consequently, what is needed is a scheme for associating historical (and related) information with a semiconductor product as it travels (and is processed) through a semiconductor processing facility.
  • Because of the deficiencies mentioned above, tools need to be shut down for maintenance more frequently than might otherwise be the case. Specifically, when a semiconductor product is processed by a tool, the resultant semiconductor product typically contains at least some variance (e.g., in terms of crystalline structure and/or physical specification) from what is optimally desired. This variance can occur due to any number of factors, including 1) that parts of the tool are wearing down and/or, 2) that the tool is in a foundry environment, where it is requested to participate in the production of many different products over a relatively short amount of time (and the switching from one product to another does not, e.g., fully recalibrate certain aspects of the tool). At some point, if the variance becomes too great (despite efforts to, e.g., adjust the controls on the tool), the resultant semiconductor product will be unacceptable, and the tool causing the variance will need to be shut down for maintenance. However, if there were some way to convey variance information (e.g., historical and related information) to a subsequent tool, and the unacceptable variance can be compensated for by that subsequent tool, then the tool causing the variance could continue to operate without the need for a maintenance shut down. Allowing a tool causing the variance to operate for a longer period of time without requiring maintenance would clearly be beneficial from a cost and yield perspective. [0014]
  • SUMMARY OF THE INVENTION
  • The present invention alleviates the deficiencies of the prior schemes mentioned above by providing a system, method and medium for facilitating communication among tools in a semiconductor (e.g., wafer) processing facility. In particular, the present invention provides greater control of the overall semiconductor product output of groups of tools in terms of the quantity and/or quality of a final semiconductor product. Embodiments of the present invention contemplate that this is implemented by providing enhanced communication among a group of tools which form a “module” (where the module is contemplated to provide some designated function or functions). This communication can be facilitated via a module control mechanism, which could be a separate “module controller,” and/or computer/communications facilities residing in the individual tools themselves. This enhanced communication allows for more effective feedback and feed forward capabilities so that variations found in a particular semiconductor product can effectively and automatically trigger appropriate compensation mechanisms. [0015]
  • More specifically, the present invention contemplates implementing the above-mentioned concepts by providing that modifications to a recipe can be made to one or more semiconductor products without it affecting (e.g., corrupting) the entire recipe. Also, such special modifications are recorded, so that they can be noted by subsequent (or previous) tools. As part of (or possibly separately from) this, the present invention also contemplates that a “traveling information” file can be associated with one or more wafers, and travel with the one or more wafers throughout the semiconductor processing facility. [0016]
  • In addition, the present invention also provides facilities to query one or more tools to determine whether or not the tools are ready for the production of a specified semiconductor product (and when in the tool's maintenance cycle some type of maintenance is scheduled to occur) and/or for the implementation of required/requested steps so that appropriate actions can be taken. [0017]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Various objects, features, and attendant advantages of the present invention can be more fully appreciated as the same become better understood with reference to the following detailed description of the present invention when considered in connection with the accompanying drawings, in which: [0018]
  • FIG. 1 is a block diagram showing a conventional semiconductor processing facility. [0019]
  • FIG. 2 is a block diagram depicting an exemplary module configuration of tools, as contemplated by embodiments of the present invention. [0020]
  • FIG. 3 shows a flow diagram depicting a method of operation for implementing various tool-related communication schemes as contemplated by embodiments of the present invention. [0021]
  • FIG. 4[0022] a illustrates three possible states of a tool in response to a tool status request.
  • FIG. 4[0023] b depicts exemplary steps for querying (and receiving information from) a tool, as contemplated by embodiments of the present invention.
  • FIG. 5 is a block diagram depicting a traveling information file associated with one or more wafers, as contemplated by embodiments of the present invention. [0024]
  • FIG. 6 depicts an exemplary format of the traveling information file. [0025]
  • FIG. 7 depicts an exemplary hierarchy of IDs. [0026]
  • FIGS. 8[0027] a and 8 b depict exemplary scenarios for communications involving the transport of materials through the semiconductor processing facility.
  • FIG. 9 depicts an exemplary form of information relating to wafers in a “cassette.”[0028]
  • FIG. 10 depicts an exemplary computing device which can exist as (or be a part of) various entities described herein, including the host computer, tools and module controller.[0029]
  • DETAILED DESCRIPTION
  • The present invention relates to the control of tools and the communication among tools in a multi-tool semiconductor processing environment. More specifically, embodiments of the present invention relate to a system, method and medium for control of and communication among wafer processing tools in a wafer processing environment. [0030]
  • While it should be understood that aspects of the present invention can relate to any number of types of semiconductor products (hereafter “products”), for the purposes of example and discussion herein, the particular type of semiconductor product referred to shall typically be envisioned to be a “wafer.”[0031]
  • Aspects of the present invention (and embodiments thereof) relate to facilitating communication between two or more tools in a wafer processing facility for the purpose of synergistically achieving a greater degree of control of the quality and/or quantity of the combined, final output of the tools (e.g., in a pre-set or user-specified manner). In various embodiments, these tools (for which such communication is facilitated) are grouped together into “modules” for performing certain specified functions. To facilitate the tool-to-tool communication to implement the specified functions, embodiments of the present invention contemplate the use of a “module controller,” which is envisioned to be separate from (but is contemplated to be in communication with) a host computer. Embodiments of the present invention envision that the module controller may be a separate entity and/or some or all of its functionality can reside in the tools, themselves. [0032]
  • The module concept is now described in greater detail with regard to FIG. 2. Referring to FIG. 2, tool [0033] 1 (204) and tool 2 (206) are depicted to be part of a module 218 (where the collection of tools within module 218 is envisioned to perform one or more specified overall functions). At least some embodiments of the present invention contemplate that each of tools 1 and 2 (204 and 206, respectively) contains a communication control (210 and 214, respectively) which enables each of the tools (204 and 206) to communicate with each other directly (e.g., via a communication link 220) without the use of a separate module controller 216. In that situation, it is contemplated that the tools contain sufficient “intelligence” (e.g., the tools have a built-in computer mechanism within communication control 210 and/or 214 to process and communicate information relating to the wafers processed by the tools). This intelligence allows the tools to communicate directly with each other, utilizing at least some of the various protocols and techniques as described herein. In addition, embodiments of the present invention contemplated that this intelligence can reside in any one tool or it can be distributed in some manner among the various communication controls (e.g., 210 and 214) of the tools. Also, in this scenario, tools 1 and 2 will depend on various information (e.g., initial recipes) being received directly from the host computer (e.g., via host communication 208 and 212, and/or through a traveling information file as described below), since a separate module controller 216 would not be used.
  • Other embodiments of the present invention envision that some or all of the communication aspects between tools are routed through a [0034] module controller 216 that exists as a separate entity from the tools (204 and 206). In this scenario, it is contemplated that the host computer 202 is in communication with the module controller 216, and the module controller is in communication with the tools (204 and 206).
  • In either of the scenarios mentioned above with regard to, e.g., use, partial use or non-use of a [0035] separate module controller 216, the host computer 202 is generally contemplated as being used to control the overall function of the wafer processing facility (of which the module 218 is at least a part) and is in communication with that part of the module 218 that, e.g., receives instructions regarding product recipes or conveys tool status. Thus, host 202 exists and functions separately from the module controller 216 and from the tool-to-tool communication functionality thereof that might otherwise exist in the tools. Also, in either scenario, it is contemplated that a unifying protocol between the various components of the wafer processing facility alleviates the need to use station controllers, as described previously.
  • Embodiments of the present invention contemplate that at least a part of the purpose of the [0036] host computer 202 is to convey or select initial recipes for the tools, and also query the tools and initiate the production of a requested product using the tools. In addition, it is also contemplated that host computer 202 has at least some control with regard to any external material transport system that may be in use.
  • The dotted lines in FIG. 2 indicate connections and devices that may or may not exist depending particularly upon whether or not there is a [0037] separate module controller 216 being used (i.e., depending upon the particular embodiment contemplated).
  • It should be understood that embodiments of the present invention contemplate that a “module” can be a set, physical entity (e.g., three tools and a module controller) that is put together in a kind of discrete package to perform a pre-set function and/or a module can be defined within a multi-tool semiconductor processing environment (e.g., three existing tools in a factory can be chosen to perform a given function and caused to communicate to facilitate performance of that function) or, three tools can be dispersed within the factory and a wafer routed therethrough to facilitate a series of prequalified steps leading to a known overall result. It should also be understood that either of the above possibilities contemplate embodiments that use, and that do not use, a [0038] separate module controller 216.
  • Embodiments of the present invention envision that any number of different types of tools could be used with any of the various “module” schemes described above (or in other non-module setting contemplated herein). A specific example of a module contemplated by embodiments of the present invention is one that envisions the usage of copper in the production of a wafer, for example to fill features such as vias, trenches and/or contacts which extend through an insulative layer previously deposited and etched while on the wafer. The exemplary tools that could be used in this module include 1) a “sputtering” tool to deposit a liner layer and a seed layer onto a wafer and the features in a film layer thereon for facilitating the further deposition of copper, 2) an “electroplating” tool to deposit copper onto the wafer to fill the features, and 3) a chemical/mechanical/polishing (CMP) tool to remove excess material after the electroplating process has been completed to facilitate further processing of the wafer. Thus, in this module, it is contemplated that a wafer will be passed through each of these above-mentioned tools in turn. Some embodiments of the present invention contemplate the use of a separate “metrology” tool to measure the thickness of the copper to determine how much polishing needs to be done by the CMP tool. (Alternatively, the “metrology” function can also be incorporated into one of the aforementioned tools, such as the CMP or electroplating tool, itself, in the form of, e.g., a metrology station.) Thus, the measuring of thickness and/or uniformity of a film (in this exemplary case, a copper film), and then using that measurement information to determine the polishing that is needed (e.g., how much, if any, to deviate from the amount of polishing otherwise specified by an initial recipe), are characteristic aspects contemplated by embodiments of the present invention. [0039]
  • In addition, the measurement of thickness and/or uniformity of a film within a multi-function (e.g., cluster) tool by a first functional unit and use of that measurement information to adjust a second functional unit (e.g., a polishing unit) within that same tool is also an aspect contemplated by various embodiments of the present invention. In such an instance, it is envisioned that many of the characteristics and features described herein (e.g., use of a module controller to effect communication among functional units) are applicable to this multi-function tool embodiment. [0040]
  • Another example of a “module” is one that uses a set of tools to perform a “deposition/etch” function. For this module, exemplary tools include 1) a deposition tool for dielectric film deposition, 2) a photolithography tool, 3) an etching tool, and 4) an inspection tool to inspect the results of the etching. As contemplated in this example, if inspection of a wafer by the inspection tool indicates that any of the previously-mentioned tools did not function as expected, then feedback can be given to those tools so that they can recalibrate themselves to produce a more desirable result for subsequent wafers that will go through the process. In this way, enhanced communication (whether facilitated by a [0041] module controller 216 or “intelligence” in the tools) thus facilitates enhanced quality of the wafers.
  • In the examples mentioned above, the tools can be made by any number of companies, such as Applied Materials of Santa Clara, Calif. or Nikon Corporation of Tokyo, Japan. Thus, the various embodiments mentioned above (e.g., use of the [0042] module controller 216 or enhanced intelligence implemented within communication control 210, 214) can be implemented using various ones of such tools. Some specific examples of tools manufactured by Applied Materials that can be used in the “deposition/etch” example mentioned above are as follows: the “dielectric deposition” tool can be the “Applied Producer” tool, the etch tool can be the “Centura Etch,” and the inspection tool can be the “Applied CD SEM” tool.
  • Of course, it should be understood that the present invention contemplates that any number of other different tools (in addition to what is mentioned above) can also be used, so long as they can be interfaced (with each other and with a host computer) using any existing or future-recognizable protocols such as TCP/IP, DCOM, SECS/GEM, CORBA and/or HSMS, and operating systems such as NT (from Microsoft Corporation of Redmond, Wash.). Also, it should be evident that any number of different types of tools are contemplated, such as processing tools and inspection tools. [0043]
  • Embodiments of the present invention envision that [0044] module controller 216 and/or communication control (210 and 214) in tools 1 and 2 and/or host computer 202 can contain standard computer components (such as those found in PC compatible processors) such as Pentium processors from Intel Corporation of Santa Clara, Calif.). (This is also discussed further below with regard to FIG. 10.)
  • The present invention contemplates the use of various embodiments to assist in facilitating the communication schemes (and other envisioned aspects) described above with regard to FIG. 2. It should be understood, however, that these various embodiments are, themselves, also contemplated for use separately from any use that may be associated with the “modules” as indicated above (and in some instances may not even be applicable to the module scheme). These various embodiments are now described below. [0045]
  • A method of operation for implementing some of the various embodiments that assist in facilitating communication schemes as alluded to above are now discussed with regard to FIG. [0046] 3. Referring to FIG. 3, the first step is that wafers are dispositioned (i.e., committed to production), as indicated by a block 302. Thus, in this step it is contemplated that the semiconductor processing facility (or some portion thereof) dispositions wafers (in some initial or intermediate state) to be processed into some finished (or at least intermediate) product.
  • The next step is that a request is forwarded to the tool(s) in the wafer processing facility to produce a specified product, as indicated by a [0047] block 304. (The tools receiving this request can, e.g., be part of a “module.”) In embodiments contemplated by the present invention, such a request could be forwarded, for example, by a host computer.
  • The next step is to determine whether the tool(s) are ready to produce the specified product, such as a specific film layer having specified characteristics or features, re, crystalline structure, refluctivity, flatness, etc., as indicated by a [0048] decision block 306. (Embodiments of the present invention also contemplate that a determination can be made regarding whether one or more tools are ready to implement some specifically requested or required step or steps.) As will be discussed further below, a tool may not be ready to produce a product for any number of reasons, including that the tool is currently only ready to produce an entirely different product (where the tool is capable of producing multiple products) or that the tool is off-line because it is undergoing maintenance. Thus, where a tool is not ready to produce a requested product, any number of actions can be taken, including waiting until the tool (or tools) is ready to produce a specified product and/or notify the user of the status of the tool and/or run some specified program which will take some designated action. This is indicated by a block 308.
  • If the necessary tool(s) are ready to produce the specified product, then one or more initial recipes can be accessed (e.g., requested) by the appropriate tools or forwarded to the tools by a host computer, so that the tools will process the wafers as instructed. This is indicated by a [0049] block 310. Then, the next step is to begin processing wafers according to one or more recipes, as indicated by block 312.
  • During the course of processing the wafers in accordance with the recipes, it may be the case that one or more wafers need to be processed somewhat differently than would otherwise be indicated by an initial recipe. For example, if a wafer is etched at one stage of the processing, it may be desirable at a subsequent stage to treat that wafer somewhat differently to compensate for variations in the etch process not consistent with a desired goal. Consequently, it is envisioned that a determination is made as to whether any wafer or wafers require treatment differing from the initial recipe(s), as indicated by a [0050] block 314. If the answer is “yes,” then the appropriate steps of the recipe are modified only for the specified wafer(s) needing special treatment, as indicated by a block 318. The remaining wafers are still processed in accordance with the initial recipes steps. Any special modifications that were made to any of the wafers are recorded for subsequent potential retrieval so that the history of any of the specially modified wafers can be ascertained (e.g., by a subsequent tool or the host). In this way, modifications are implemented and kept track of, while the initial recipe is kept intact for the remaining wafers that were not in need of any special modification.
  • For wafers not requiring any treatment differing from the initial recipe(s), then those wafers are processed in accordance with the initial recipe(s) as indicated by a [0051] block 316.
  • It should be understood that the steps (and sequence thereof) as depicted and discussed with regard to FIG. 3 are merely by way of example, and that the present invention contemplates the use of additional steps, as well as various modifications of those steps mentioned. [0052]
  • As indicated above, embodiments of the present invention contemplate the use of tools capable of potentially participating in the manufacture of any number of different products. To coordinate the effort to produce a given product, embodiments of the present invention contemplate that those tools involved in the production process are capable of receiving certain types of commands from, and conveying status (e.g., availability) information to, some central command/initiation computer such as a host computer. As an example of this, embodiments of the present invention contemplate that a status inquiry may be undertaken with regard to whether one, several, or an entire factory of tools are currently “ready” for the production of a specified product. [0053]
  • Various embodiments of the present invention contemplate that any given product that can be manufactured by the wafer processing facility (and thus, which a tool can participate in the manufacture of) has a specified Product ID associated with it. Thus, where it is desired to produce a given product, a status request is sent (e.g., by a host computer) to determine whether a tool (and/or all tools that would be involved in the process) are ready to participate in the manufacture of the desired product. In response to this status request, a “tool status” is then returned for each tool, indicating the status of the particular tool for the request as given. [0054]
  • An exemplary form of the “tool status” that is returned by a tool as contemplated by embodiments of the present invention is shown at FIG. 4[0055] a. Referring to FIG. 4a, this example depicts three different possible states that a given tool (having a specified “Tool ID”) can have (in actual use, it is envisioned that only one of these states is actually returned by the tool). In state one, the tool has indicated that it is ready to participate in the production of the product that has been requested. When this state is returned, it is returned with certain other items of information, including the time until the tool becomes inactive due, e.g., to the fact that it undergoes some type of maintenance (e.g., preventive maintenance [pm]), and the number of wafers that the tool may process before the maintenance occurs. In embodiments of the present invention, this information can be important since, even if the tool indicated that it is “ready for production” of a particular product, it may be scheduled to undergo maintenance in a short period of time. In that case, the controlling entity (e.g., host computer) may decide to postpone production of the desired product until after the maintenance, and may even command the tool to immediately initiate the maintenance procedure (so that production of the desired product can begin that much earlier).
  • A second possible state that can be returned (as shown in this example of FIG. 4[0056] a) is one where the tool is currently down for maintenance. In that case, as contemplated by embodiments of the present invention, an item of information returned with that state includes the time remaining until the tool is back up for production.
  • A third possible state that can be returned as contemplated by embodiments of the present invention is that the tool is “currently running” some other job (e.g., involved in the production of some other product). In that state, it is contemplated that the number of wafers before completion of the currently-running job is returned, as well as a time and number of wafers until maintenance. In addition, embodiments of the present invention also contemplate that, where a particular product requested is not the same as the one currently running and some time is required to re-set the tool in order for it to participate in making the requested product, then that amount of time will also be returned. [0057]
  • It should be understood that the present invention contemplates the usage of any number of different states and/or the ability to return and process any number of different items of information. In addition, embodiments of the present invention contemplate that the information returned in a “tool status” can indicate which of possibly multiple steps that the tool performs in its participation of making a given product are “ready.” Thus, for example, a particular tool may implement three different steps while participating in the production of a particular product, but at a given point in time the tool may be ready to implement only two of them. In addition, it is also contemplated that some central command (e.g., host) computer could also directly poll a tool as to whether it is ready to implement some specified step that the tool may generally be capable of implementing. [0058]
  • A sequence of exemplary steps for requesting the manufacture of a particular product in accordance with the principles mentioned above is now discussed with regard to FIG. 4[0059] b. Referring to FIG. 4b, the control entity (e.g., host computer) sends out a tool status request, as indicated by a step 1. This can be in the form of a list of one or more product ID's sent to a single tool, across two or more tools, or even to all tools in a wafer processing facility.
  • [0060] Step 2 indicates that the “tool status” has been sent by the tool to the control entity (e.g., host ) (e.g., as was discussed with regard to FIG. 4a above).
  • Once an indication has been sent that the necessary tools are ready to make the requested product, then in [0061] step 3, a “tool service request” is initiated (containing the relevant product ID and/or tool ID's) to initiate the manufacture of the product or to perform some tool service (e.g., maintenance). Since various events could occur between the time that the “tool status” of step 2 is received and the time that the tool service request is initiated (e.g., a tool could have broken down), embodiments of the present invention contemplate that the host computer then waits to receive an indication whether the tool service request has been granted or rejected, as indicated by step 4. If service is “granted,” the tool service will start, as indicated by step 5. (Otherwise, if service is rejected, or if no response to the tool service request is received (and a “time-out” occurs), then the tool service will not be initiated.)
  • If tool service has been initiated, then when completed, the tool will send, e.g., the host computer a “tool service completed” message, as indicated by [0062] step 6.
  • It should be understood that the various states and parameters of FIG. 4[0063] a and steps of FIG. 4b are examples contemplated by the present invention, and that the present invention envisions that any number of different types of parameters, steps, etc. can also be used to implement the features contemplated herein.
  • Embodiments of the present invention contemplate that historical information pertaining to groups (e.g., “cassettes”) of wafers or even to a single wafer be recorded, and that this information “follow” the wafers (or wafer) through the journey through the wafer processing facility. In this way, if a wafer was processed by a given tool such that an undesirable variation occurred, then this recorded information will be following the wafer to a subsequent tool, where appropriate compensation for the variation can take place. Thus, for example, if the information associated with a given wafer indicates that it was heated to a less than adequate temperature within a certain tool, a subsequent tool receiving the wafer may be able to utilize this recorded information to compensate for the effects of reduced temperature. [0064]
  • A scheme for implementing the wafer information recordation as described above is depicted by FIG. 5. Referring now to FIG. 5, a Wafer X is shown as being conveyed from a tool [0065] 1 (502) to a tool 2 (504) via an external material transport system 510, which may be either manual or automated. In addition to wafer X itself, a traveling information file (referred to in this example here as “Wafer X file”) 506 is also conveyed via a communication link 508 from tool 1 (502) to tool 2 (504). (Embodiments of the present invention contemplate that tool 2 could automatically be passed the Wafer X file, or that it would request the Wafer X file upon receipt of Wafer X. In the latter case, such request could be made directly of tool 1 and/or of some module control mechanism. In either case, control of the Wafer X file gets transferred to tool 2.)
  • The Wafer X file [0066] 506 mentioned above can contain any number of different items of information which may be relevant in the processing of a wafer (to make a desired product) as it is processed by the appropriate tools in the wafer processing facility. As indicated by Wafer X file 506, such information can be “feed forward information,” meaning that it can contain information which indicates how the Wafer X should be treated differently than would otherwise be indicated by the initial recipes. Depending upon the variation as recorded in the wafer history (i.e., in the Wafer X file), deviations from the initial recipe(s) can be a difference in one step on a single tool, or multiple steps over several tools. Generally, it is envisioned that whatever corrective measures need to be taken to compensate for the variation would be implemented.
  • As an example of a specific application of the use of a traveling information file such as Wafer X file [0067] 506 of FIG. 5 and environments used therewith, tool 2 (504) can be a CMP apparatus, and tool 1 (502) can be a metrology device that can generate information about a wafer and store it in the traveling information file. Assuming that a thickness or uniformity profile (e.g., an indication of the thickness or uniformity of a wafer layer as a function of the position on the wafer) can be derived from the “feed forward” information in the traveling information file, the CMP apparatus can then use that information to improve the polishing uniformity and compensate for variations that occurred at previous tools. Thus, if one radial region of the layer on the wafer is thicker than another region, the CMP apparatus can use the feed forward information to determine a plurality of pressures that will be applied to the different radial regions of the wafer. By applying a higher pressure to the thick region, material may be preferentially removed from the thick region, thereby improving the planarity of the resulting wafer and compensating for variations in a prior tool. An example of a chemical mechanical polishing system that can apply preferential pressures to a wafer is described in provisional U.S. Application Serial No. 60/143,219, filed Jul. 9, 1999, the entire disclosure of which is incorporated by reference.
  • While the description of FIG. 5 above has been in terms of a single wafer, it should be understood that the present invention also contemplates that the history of a group of wafers (e.g., a cassette or lot of wafers), to the extent that they have been treated substantially the same in at least certain instances, can also be recorded in a traveling information file and follow the group as it travels through the wafer processing facility. [0068]
  • In addition to, or in conjunction with, the use of the traveling information file, embodiments of the present invention also contemplate that feedback information can be utilized. Thus, for example, should one or more traveling information files which are received by [0069] tool 2 from tool 1 indicate that there is a variation with tool 1 which needs to be compensated for, feedback information 512 can be sent from tool 2 to tool 1 indicating to tool 1 that certain aspects of tool 1 need to be adjusted. (Embodiments of the present invention contemplate that this feedback can, in effect, be in the form of a copy of the traveling information file 506.) In this way, once tool 1 makes these adjustments, subsequent wafers can be processed in a desirable fashion.
  • It should be understood that the concepts described herein, particularly with regard to FIG. 5, result in certain distinct advantages relating to the present invention. For example, implementation of the “feed forward” concept as described above may allow a given tool to produce wafers with a greater variance (in terms of, e.g., crystalline structure and/or physical dimension) than would otherwise be acceptable in the course of producing a given semiconductor product, since a subsequent tool can then compensate for this variance. A result of this is that the necessity to shut an individual tool down (or slow its production) for maintenance purposes (so that the product the tool provides would be within a range where subsequent (or precedent) process compensation would not be necessary), decreases. Since the tools are down for maintenance less of the time, yield increases, and the cost of maintaining the tools decreases. Similar advantages can also occur by the implementation of the aforementioned feedback concept. Situations where the feed forward and feedback concepts are contemplated to be applicable include where parts of a tool incrementally change product results over time and must otherwise be replaced before their “end of life” to ensure that the resulting product is within narrow specified limits, and/or in a foundry environment, where a tool is directed to participate in the production of a different semiconductor product from the one that it was previously participating in, and the process provided by the tool must be changed for its manufacture of the second semiconductor product. [0070]
  • Embodiments of the present invention also contemplate that various concepts discussed herein, and particularly those relating to FIG. 5 above, are also applicable with regard to the measurement of thickness and/or uniformity of a film within a multi-function (e.g., cluster) tool. Thus, it is envisioned that, e.g., a first functional unit within a cluster tool can obtain measurement information relating to the thickness and/or uniformity of a wafer, and convey that information to a second functional unit within the cluster tool (e.g., one that performs a polishing function). The second functional unit can then (if needed or desired) adjust its operation (e.g., the amount of polishing) in accordance with the received measurement information. [0071]
  • An exemplary format for a traveling information file containing information for a single wafer (particularly where inherent computer intelligence is contemplated to exist in the tools, as described above) is now shown and described with regard to FIG. 6. Referring to FIG. 6, a Tool ID indicates the given tool for which a set of actions (e.g., recipe steps) are to be taken. As can be appreciated, such a set of actions is contemplated to exist in the traveling information file for each tool that will be used to process each wafer (having a specified Wafer ID) to create the desired product. As can be seen in FIG. 6, there are n Steps associated with the process, each of which is associated with a recipe. Thus, in this example, n “initial” recipes (which, as indicated above, are contemplated by embodiments of the present invention to have come from the host computer) are to be implemented by the tool having “Tool ID” as shown. [0072]
  • In conjunction with the recipes, parameters associated with the wafer are also recorded. The “parameters” represent those specific aspects to be implemented by the tool that are variations from the initial recipe. For example, if a particular Tool ID represented a polishing tool, and the wafer at issue needed an additional 10 seconds of polishing beyond what was otherwise prescribed by the relevant recipe, the need for the extra 10 seconds would be recorded into the “parameters” associated with the recipe. Thus, the “parameters” are calculated (e.g., by one of the tools), and recorded in the course of the wafer traveling through the wafer processing facility. [0073]
  • The “data list” is envisioned to contain any number of items of data that may pertain to the wafer, such as temperature of the wafer at certain times in the wafer's history, wafer thickness, uniformity, etc. It is envisioned that it is the information in this data list that is used, for example, to determine whether the wafer needs to undergo treatment different from that prescribed by the initial recipe (thus causing additional information to be entered into the “parameters”). [0074]
  • It should be understood that the format depicted by FIG. 6 as described above is by way of example, and that any number of different formats are also contemplated. [0075]
  • Typically, a tool in a wafer processing facility will receive wafers in various sized groups. Often, wafers will be sent to a tool in groups of one or more “cassettes,” (comprising typically 25 wafers). Each cassette can have its own “Material ID (cassette ID)” associated with it. A “lot” (consisting of a number of wafers) will typically comprise multiple cassettes (or portions of a cassette), and can have their own associated “Lot ID.” Finally, a “wafer” can, itself, have its own individual Wafer ID. One exemplary hierarchal structure for this is depicted by FIG. 7. [0076]
  • In addition to determining whether or not a tool or groups of tools are ready for the production of a given product, and in addition to conveying information about the status of a particular wafer's progress during processing, embodiments of the present invention also contemplate usage of, and operating within environments of, a material transport system, such as the type indicated in FIGS. 1 and 2. Further to the implementation of such a system, FIG. 8[0077] a depicts an exemplary scenario for the steps involved in the delivery of material (e.g., cassettes of wafers) from a material transport system (where the communicated information and/or materials emanate from a host computer/delivery system and/or another tool) to a tool, while FIG. 8b depicts an exemplary scenario for the steps involved in the retrieval of materials from a tool. As can be seen from FIGS. 8a and 8 b, wafers at the cassette level (i.e., whole cassettes of wafers, each having a cassette ID) are what are being transported and queried. It should be understood, however, that any number of other types of scenarios, steps, and groupings of wafers are also contemplated for use with, and in environments of, the present invention.
  • FIG. 9 depicts information regarding a cassette of wafers (having a particular cassette ID). This information can be conveyed to a tool so that the tool can associate a particular wafer with its wafer ID, as well as identify which physical “slot” in a cassette the particular wafer having a given wafer ID is located at. In this way, when a tool needs to, for example, implement (or modify) one or more steps in a different way from that which is otherwise dictated by a given recipe, the tool will know which “slot” the relevant wafer is in when the cassette is delivered from or removed from the tool. [0078]
  • Embodiments of the present invention contemplate potentially operating with tools that may place a given wafer in a different cassette than the one it entered the tool in. However, where this is the case, the present invention contemplates that this occurrence would be anticipated and kept track of, so that any appropriate information corresponding to a given wafer continues to be associated with that wafer. [0079]
  • Embodiments of the present invention contemplate the use of various computers and computer components either as, or as a part of, various entities such as the host computer, tools and/or module controllers, and/or for use in environments therewith. An exemplary depiction of such a computing device that could be used with embodiments of the present invention is shown at FIG. 10. Referring now to FIG. 10, CPU(s) [0080] 1004 are shown to be in communication with a memory/storage device 1006 via bus 1002. CPU(s) 1004 can be any number of different types of processors, including those manufactured by Intel Corporation or Motorola of Schaumberg, Ill. The memory/storage device 1006 can be any number of different types of memory devices such as DRAM and SRAM as well as various types of storage devices, including magnetic and optical media, and that the memory/storage device 1006 can also take the form of a communications transmission.
  • A [0081] display device 1008 is also shown, which could be any number of devices conveying visual and/or audio information to a user. Also in communication with bus 1002 is an I/O interface 1010 for allowing the computing device 1000 to interface with other devices, such as host computers, tools or module controllers, depending upon which device the computing device 1000 (or portion thereof) represents.
  • The [0082] computing device 1000 can be an off-the-shelf device such as a personal computer (e.g., an Intel-based device), or can be merely components on a “rack.” Any number of operating systems, such as NT from Microsoft Corporation can be used. Also, it is further contemplated that computing device 1000 (and/or various components thereof) are connected via 1/0 1010 using, e.g., the communications mechanisms as generally described above, which may comprise networking mechanisms and protocols such as DCOM, the HSMS protocol standard used by SECS/GEM, and/or network operating systems such as NT or Novell from Novell, Inc. of Provo, Utah.
  • Of course, it should be, understood that the components described above are by way of example, and that the present invention contemplates that any number of different types of components and configurations can be used. [0083]
  • In general, it should be emphasized that the various components of embodiments of the present invention can be implemented in hardware, software or a combination thereof. In such embodiments, the various components and steps would be implemented in hardware and/or software to perform the functions of the present invention. Any presently available or future developed computer software language and/or hardware components can be employed in such embodiments of the present invention. For example, at least some of the functionality mentioned above could be implemented using the C, C++, or any assembly language appropriate in view of the processor(s) being used. It could also be written in an interpretive environment such as Java and transported to multiple destinations to various users. [0084]
  • It is also to be appreciated and understood that the specific embodiments of the invention described hereinbefore are merely illustrative of the general principles of the invention. Various modifications may be made by those skilled in the art consistent with the principles set forth hereinbefore. [0085]

Claims (59)

What is claimed is:
1. A system for interactively monitoring and adjusting product output from the individual tool of a module, wherein the output is a result of the coordinated effort of two or more semiconductor preparation tools making up the module, the system comprising;
a first tool of said two or more semiconductor tools, said first tool capable of implementing a first process on a semiconductor product and producing a first output;
a second tool of said two or more semiconductor tools, said second tool receiving as input said first output from said first tool, and said second tool capable of implementing a second process on the semiconductor product and producing a second output,
wherein said first tool measures and obtains measurement data relating to the thickness and uniformity of a film, and wherein said measurement data is conveyed to said second tool for use in modifying a behavior of said second tool; and
a module control mechanism, said module control mechanism capable of facilitating the exchange of information between said first tool and said second tool so that the module yields a desired semiconductor product output, said semiconductor product output being, or resulting from, said second output.
2. The system of claim 1 wherein the said first tool includes a metrology station and said second tool includes a chemical mechanical polishing apparatus.
3. The system of claim 2, wherein modifying the behavior of said second tool includes determining a plurality of pressures to apply to different regions of the semiconductor product as it is pressed against a polishing surface.
4. The system of claim 1, wherein said module control mechanism is a part of said first tool, or is distributed between said first and second tools.
5. A system for controlling the quality and/or quantity of a final semiconductor product output from a multi-function tool, wherein the final semiconductor output is a result of the coordinated effort of two or more functional units making up the multi function tool, the system comprising;
a first functional unit of said two or more functional units, said first functional unit capable of implementing a first process on a semiconductor product and producing a first output,
wherein said first functional unit measures and obtains measurement data relating to the thickness and/ or uniformity of a film;
a second functional unit of said two or more semiconductor functional units, said second tool receiving as input said first output from said first functional unit, and said second functional unit capable of implementing a second process on the semiconductor product and producing a second output,
wherein said measurement data from the first functional unit is conveyed to said second functional unit for use in modifying a behavior of said second functional unit; and
a module control mechanism, said module control mechanism capable of facilitating the exchange of information between said first functional unit and said second functional unit so that the multi-function tool yields a pre-set or user-specified final semiconductor product output,
said semiconductor product output being, or resulting from, said second output.
6. The system of claim 5 wherein the said first functional unit includes a metrology station and said second functional unit includes a chemical mechanical polishing apparatus.
7. The system of claim 6, wherein modifying the behavior of said second functional unit includes determining a plurality of pressures to apply to different regions of the semiconductor product.
8. A method for associating information with a wafer in a semiconductor processing facility, comprising the steps of:
(1) processing a wafer at a first wafer processing tool, and storing first information pertaining to said wafer on a traveling information file,
wherein said traveling information file comprises information pertaining to the status of said wafer;
(2) transferring said wafer to a second wafer processing tool;
(3) transferring said traveling information file with said wafer to said second wafer processing tool;
(4) receipt of said traveling information file by said second wafer processing tool; and
(5) processing said wafer at said second processing tool using said first information in said wafer status file, and storing second information pertaining to said wafer on said traveling information file.
9. A system for controlling the quality and/or quantity of a final semiconductor product output from a module, wherein the final semiconductor output is a result of the coordinated effort of two or more semiconductor preparation tools making up the module, the system comprising;
a first tool of said two or more semiconductor tools, said first tool capable of implementing a first process on a semiconductor product and producing a first output;
a second tool of said two or more semiconductor tools, said second tool receiving as input said first output from said first tool, and said second tool capable of implementing a second process on the semiconductor product and producing a second output,
wherein said first tool measures and obtains measurement data relating to the thickness and uniformity of a film, and wherein said measurement data is conveyed to said second tool for use in modifying a behavior of said second tool; and
a module control mechanism, said module control mechanism capable of facilitating the exchange of information between said first tool and said second tool so that the module yields a pre-set or user-specified final semiconductor product output, said final semiconductor product output being, or resulting from, said second output.
10. The system of claim 9 wherein the said first tool includes a metrology station and said second tool includes a chemical mechanical polishing apparatus.
11. The system of claim 10, wherein modifying the behavior of said second tool includes determining a plurality of pressures to apply to different regions of the semiconductor product as it is pressed against a polishing surface.
12. The system of claim 9, wherein said module control mechanism is a part of said first tool, or is distributed between said first and second tools.
13. A system for interactively monitoring and adjusting product output from a module, wherein the output is a result of the coordinated effort of two or more semiconductor tools making up the module, the system comprising:
a first tool of said two or more semiconductor tools, said first tool capable of implementing a first process on a semiconductor product and producing a first output;
a second tool of said two or more semiconductor tools, said second tool receiving as input said first output from said first tool, and said second tool capable of implementing a second process on the semiconductor product and producing a second output,
wherein one of said first or second tools measures and obtains measurement data relating to said semiconductor product, and wherein said measurement data is conveyed to the other of said first or second tools for use in modifying a behavior of said other of said first or second tool; and
a module communication mechanism, said module communication mechanism capable of facilitating the communication of information between said first tool and said second tool so that the module yields a desired semiconductor product output,
said semiconductor product output being, or resulting from, said second output.
14. The system of claim 13, wherein said first tool includes a deposition function, and said second tool includes a CMP function.
15. The system of claim 13, wherein said first tool includes a deposition function, and said second tool includes an etch function.
16. The system of claim 13, wherein said first tool includes a CMP function, and said second tool includes an etch function.
17. The system of claim 13, wherein said first tool includes an electroplating function, and said second tool includes a CMP function.
18. The system of claim 13, wherein said first tool includes a sputtering function, and said second tool includes an electroplating function.
19. The system of claim 13, wherein said first tool includes an etch function, and said second tool includes an inspection function for inspecting the results of said etch function.
20. The system of claim 13, wherein said measurement data relates to the thickness and/or uniformity of a film.
21. The system of claim 13, further comprising a module controller, wherein at least some information communicated by said module communication mechanism are controlled by said module controller.
22. The system of claim 21, wherein said module communication mechanism resides, at least in part, in either said first or second tool, or is distributed between said first and second tools.
23. The system of claim 13, wherein said module communication mechanism resides, at least in part, in either said first or second tool, or is distributed between said first and second tools.
24. A system for interactively monitoring and adjusting product output from a multi-function tool, wherein the output is a result of the coordinated effort of two or more functional units making up the multi-function tool, the system comprising:
a first functional unit of said two or more semiconductor functional units, said first functional unit capable of implementing a first process on a semiconductor product and producing a first output;
a second functional unit of said two or more semiconductor functional units, said second functional unit receiving as input said first output from said first functional unit, and said second functional unit capable of implementing a second process distinct from said first process on the semiconductor product and producing a second output,
wherein one of said first or second functional units measures and obtains measurement data relating to said semiconductor product, and wherein said measurement data is conveyed to the other of said first or second functional units for use in modifying a behavior of said other of said first or second functional unit; and
a module communication mechanism, said module communication mechanism capable of facilitating the exchange of information between said first functional unit and said second functional unit so that the multi-function tool yields a desired semiconductor product output,
said semiconductor product output being, or resulting from, said second output.
25. The system of claim 24, wherein said measurement data relates to the thickness and/or uniformity of a film.
26. The system of claim 24, further comprising a third functional unit, wherein the routing of a semiconductor product through said first, second and third functional units occurs in a predetermined, fixed sequence.
27. The system of claim 24, wherein said first functional unit includes a deposition function, and said second functional unit includes a CMP function.
28. The system of claim 24, wherein said first functional unit includes a deposition function, and said second functional unit includes an etch function.
29. The system of claim 24, wherein said first functional unit or said second functional unit includes a CMP function.
30. The system of claim 24, wherein said first functional unit includes an electroplating function, and said second functional unit includes a CMP function.
31. The system of claim 24, wherein said first functional unit or said second functional unit includes a deposition function.
32. The system of claim 24, wherein said first functional unit includes an etch function, and said second functional unit includes an inspection function for inspecting the results of said etch function.
33. The system of claim 24, further comprising a module controller, wherein at least some information communicated by said module communication mechanism are controlled by said module controller.
34. The system of claim 33, wherein said module communication mechanism resides, at least in part, in either said first or second functional unit, or is distributed between said first and second functional units.
35. The system of claim 24, wherein said module communication mechanism resides, at least in part, in either said first or second functional unit, or is distributed between said first and second functional units.
36. A method for associating information with a wafer in a semiconductor processing facility, comprising the steps of:
(1) processing a wafer at a first wafer processing tool, and storing first information pertaining to said wafer on a wafer information entity,
wherein said wafer information entity comprises information pertaining to the status of said wafer;
(2) transferring said wafer to a second wafer processing tool;
(3) transferring said wafer information entity with said wafer to said second wafer processing tool;
(4) receiving said wafer information entity by said second wafer processing tool;
(5) processing said wafer at said second processing tool using said first information in said wafer information entity, and storing second information pertaining to said wafer on said wafer information entity.
37. A method for associating information with a wafer in a semiconductor processing facility, comprising the steps of:
(1) processing a first wafer at a first wafer processing tool, and storing first information pertaining to said first wafer on a wafer information entity,
wherein said wafer information entity comprises information pertaining to the status of said first wafer;
(2) transferring said first wafer to a second wafer processing tool;
(3) transferring said wafer information entity with said first wafer to said second wafer processing tool;
(4) receiving said wafer information entity by said second wafer processing tool;
(5) processing said first wafer at said second processing tool, and storing second information pertaining to said first wafer on said wafer information entity;
(6) transferring at least some of said second information to said first wafer processing tool; and
(7) processing a second wafer at said first wafer processing tool using said at least some of said second information of said step (6).
38. The method of claim 37, wherein wafer information entity contains a recipe or a modification of said recipe, and wherein said first wafer processing tool comprises the step of using said recipe or said modification of said recipe in said wafer information entity to process said wafer.
39. A system for interactively monitoring and adjusting product output from a module, wherein the output is a result of the coordinated effort of two or more semiconductor tools making up the module, the system comprising;
an electroplating tool, said electroplating tool capable of implementing a copper depositing process on a semiconductor product and producing a first output;
a CMP tool, said CMP tool receiving as input said first output from said electroplating tool, and said CMP tool capable of implementing an excess material removal process on the semiconductor product and producing a second output,
a module communication mechanism, said module communication mechanism capable of facilitating the exchange of information between said electroplating tool and said CMP tool so that the module yields a desired semiconductor product output,
said semiconductor product output being, or resulting from, said second output.
40. The system of claim 39, further comprising a module controller, wherein at least some information communicated by said module communication mechanism are controlled by said module controller.
41. The system of claim 40, wherein said module communication mechanism resides, at least in part, in either said first or second tool, or is distributed between said first and second tools.
42. The system of claim 39, wherein said module communication mechanism resides, at least in part, in either of said first or second tool, or is distributed between said first and second tools.
43. A method for interactively monitoring and adjusting product output from a module, wherein the output is a result of the coordinated effort of two or more semiconductor tools making up the module, the method comprising the steps of:
(1) implementing a first process on a semiconductor product, using a first tool of said two or more semiconductor tools, to produce a first output;
(2) implementing a second process on the semiconductor product, using a second tool of said two or more semiconductor tools, to produce a second output, said second tool receiving as input said first output from said first tool,
(3) measuring and obtaining measurement data relating to said semiconductor product, by one of said first or second tools, and conveying said measurement data to the other of said first or second tools for use in modifying a behavior of said other of said first or second tool; and
(4) facilitating the communication of information between said first tool and said second tool so that the module yields a desired semiconductor product output,
said semiconductor product output being, or resulting from, said second output.
44. The method of claim 43, wherein said first tool includes a deposition function, and said second tool includes a CMP function.
45. The method of claim 43, wherein said first tool includes a deposition function, and said second tool includes an etch function.
46. The method of claim 43, wherein said first tool includes a CMP function, and said second tool includes an etch function.
47. The method of claim 43, wherein said first tool includes an electroplating function, and said second tool includes a CMP function.
48. The method of claim 43, wherein said first tool includes a sputtering function, and said second tool includes an electroplating function.
49. The method of claim 43, wherein said first tool includes an etch function, and said second tool includes an inspection function for inspecting the results of said etch function.
50. The method of claim 43, wherein said measurement data relates to the thickness and/or uniformity of a film.
51. The method of claim 43, wherein at least some aspects of said step (4) are controlled by a module controller.
52. The method of claim 51, wherein at least some aspects of said step (4) are controlled by either said first or second tools, or by a combination of said first and second tools.
53. The method of claim 43, wherein at least some aspects of said step (4) are controlled by either said first or second tools, or by a combination of said first and second tools.
54. A method for interactively monitoring and adjusting product output from a multi-function tool, wherein the output is a result of the coordinated effort of two or more functional units making up the multi-function tool, the method comprising the steps of:
(1) implementing a first process on a semiconductor product, using a first functional unit of said two or more functional units, to produce a first output;
(2) implementing a second process on the semiconductor product, using a second functional unit of said two or more functional units, to produce a second output, said second functional unit receiving as input said first output from said first functional unit,
(3) measuring and obtaining measurement data relating to said semiconductor product, by one of said first or second functional units, and conveying said measurement data to the other of said first or second functional units for use in modifying a behavior of said other of said first or second functional unit; and
(4) facilitating the communication of information between said first functional unit and said second functional unit so that the multi-function tool yields a desired semiconductor product output,
said semiconductor product output being, or resulting from, said second output.
55. The method of claim 54, further comprising the step of implementing a third process on the semiconductor product using a third functional unit, wherein the routing of the semiconductor product through said first, second and third functional units occurs in a predetermined, fixed sequence.
56. The system of claim 24, wherein said first functional unit or said second functional unit includes a sputtering function.
57. The system of claim 33, wherein said control is facilitated by the use of algorithmic instructions.
58. The system of claim 40, wherein said control is facilitated by the use of algorithmic instructions.
59. A system for controlling the quality and/or quantity of a final semiconductor product output from a multi-function tool, wherein the final semiconductor output is a result of the coordinated effort of two or more functional units making up the multi function tool, the system comprising;
a first functional unit of said two or more functional units, said first functional unit capable of implementing a first process on a semiconductor product and producing a first output,
wherein said first functional unit measures and obtains measurement data relating to the thickness and/ or uniformity of a film;
a second functional unit of said two or more semiconductor functional units, said second tool receiving as input said first output from said first functional unit, and said second functional unit capable of implementing a second process on the semiconductor product and producing a second output,
wherein said measurement data from the first functional unit is conveyed to said second functional unit for use in modifying a behavior of said second functional unit; and
a module control mechanism, said module control mechanism capable of facilitating the exchange of information between said first functional unit and said second functional unit so that the multi-function tool yields a pre-set or user-specified final semiconductor product output,
said semiconductor product output being, or resulting from, said second output,
wherein said two or more semiconductor functional units use a unifying protocol, to thereby alleviate a need to use station controllers for said two or more functional units.
US10/686,589 1999-12-22 2003-10-17 Multi-tool control system, method and medium Abandoned US20040083021A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/686,589 US20040083021A1 (en) 1999-12-22 2003-10-17 Multi-tool control system, method and medium

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/469,227 US6640151B1 (en) 1999-12-22 1999-12-22 Multi-tool control system, method and medium
US10/686,589 US20040083021A1 (en) 1999-12-22 2003-10-17 Multi-tool control system, method and medium

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/469,227 Continuation US6640151B1 (en) 1999-12-22 1999-12-22 Multi-tool control system, method and medium

Publications (1)

Publication Number Publication Date
US20040083021A1 true US20040083021A1 (en) 2004-04-29

Family

ID=23862973

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/469,227 Expired - Fee Related US6640151B1 (en) 1999-12-22 1999-12-22 Multi-tool control system, method and medium
US10/686,589 Abandoned US20040083021A1 (en) 1999-12-22 2003-10-17 Multi-tool control system, method and medium

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/469,227 Expired - Fee Related US6640151B1 (en) 1999-12-22 1999-12-22 Multi-tool control system, method and medium

Country Status (5)

Country Link
US (2) US6640151B1 (en)
EP (1) EP1111657A3 (en)
JP (1) JP2001244161A (en)
KR (1) KR100683453B1 (en)
TW (1) TW546681B (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020165636A1 (en) * 2001-05-04 2002-11-07 Hasan Talat Fatima Systems and methods for metrology recipe and model generation
US20040031135A1 (en) * 2001-07-31 2004-02-19 Keiji Imai Semiconductor manufacturing apparatus control system
US20050124081A1 (en) * 2003-09-09 2005-06-09 Kazutoshi Ishii Manufacturing method for a semiconductor device
US20050142673A1 (en) * 2003-09-09 2005-06-30 Kazutoshi Ishii Method for manufacturing semiconductor device
US20060025880A1 (en) * 2004-07-29 2006-02-02 International Business Machines Corporation Host control for a variety of tools in semiconductor fabs
US20060274306A1 (en) * 2001-04-26 2006-12-07 Tokyo Electronic Limited Measurement system cluster
US20090319074A1 (en) * 2008-06-23 2009-12-24 International Business Machines Corporation Method for compensating for tool processing variation in the routing of wafers/lots
US7783455B1 (en) * 2005-03-04 2010-08-24 Globalfoundries Inc. Methods and systems for analyzing process equipment processing variations using sensor data
US20110102760A1 (en) * 2009-11-04 2011-05-05 International Business Machines Corporation Alignment method for semiconductor processing
CN111133530A (en) * 2017-06-23 2020-05-08 坎杜能源公司 Communication system and method for nuclear reactor equipment

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7403984B2 (en) * 2000-02-01 2008-07-22 Asyst Technologies, Inc. Automated tool management in a multi-protocol environment
US8028049B1 (en) * 2000-02-01 2011-09-27 Peer Intellectual Property Inc. Apparatus and method for web-based tool management
US7873428B2 (en) * 2005-04-15 2011-01-18 PEER Intellectual Property, Inc. Automated job management
US9785140B2 (en) * 2000-02-01 2017-10-10 Peer Intellectual Property Inc. Multi-protocol multi-client equipment server
US7672747B2 (en) * 2000-03-30 2010-03-02 Lam Research Corporation Recipe-and-component control module and methods thereof
ATE390655T1 (en) * 2000-04-20 2008-04-15 Cogiscan Inc AUTOMATIC MANUFACTURING CONTROL SYSTEM
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
GB2373607B (en) * 2001-03-23 2003-02-12 Sun Microsystems Inc A computer system
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7756963B2 (en) * 2001-07-05 2010-07-13 PEER Intellectual Property, Inc. Automated tool management in a multi-protocol environment
US6950716B2 (en) 2001-08-13 2005-09-27 Applied Materials, Inc. Dynamic control of wafer processing paths in semiconductor manufacturing processes
US6984198B2 (en) * 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
US20030037090A1 (en) * 2001-08-14 2003-02-20 Koh Horne L. Tool services layer for providing tool service functions in conjunction with tool functions
US6842659B2 (en) * 2001-08-24 2005-01-11 Applied Materials Inc. Method and apparatus for providing intra-tool monitoring and control
US7032816B2 (en) * 2001-12-28 2006-04-25 Kimberly-Clark Worldwide, Inc. Communication between machines and feed-forward control in event-based product manufacturing
US8799113B2 (en) * 2001-12-28 2014-08-05 Binforma Group Limited Liability Company Quality management by validating a bill of materials in event-based product manufacturing
US7357298B2 (en) * 2001-12-28 2008-04-15 Kimberly-Clark Worldwide, Inc. Integrating event-based production information with financial and purchasing systems in product manufacturing
US6968250B2 (en) * 2001-12-28 2005-11-22 Kimberly-Clark Worldwide, Inc. Intelligent agent system and method for evaluating data integrity in process information databases
US7380213B2 (en) * 2001-12-28 2008-05-27 Kimberly-Clark Worldwide, Inc. User interface for reporting event-based production information in product manufacturing
US7035877B2 (en) 2001-12-28 2006-04-25 Kimberly-Clark Worldwide, Inc. Quality management and intelligent manufacturing with labels and smart tags in event-based product manufacturing
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US7668702B2 (en) * 2002-07-19 2010-02-23 Applied Materials, Inc. Method, system and medium for controlling manufacturing process using adaptive models based on empirical data
CN1720490B (en) 2002-11-15 2010-12-08 应用材料有限公司 Method and system for controlling manufacture process having multivariate input parameters
US6830941B1 (en) * 2002-12-17 2004-12-14 Advanced Micro Devices, Inc. Method and apparatus for identifying individual die during failure analysis
US7220034B2 (en) * 2003-07-11 2007-05-22 Rudolph Technologies, Inc. Fiber optic darkfield ring light
US20050038554A1 (en) * 2003-07-14 2005-02-17 Cory Watkins Inspection and metrology module cluster tool
US7340087B2 (en) * 2003-07-14 2008-03-04 Rudolph Technologies, Inc. Edge inspection
US7589783B2 (en) * 2003-07-14 2009-09-15 Rudolph Technologies, Inc. Camera and illumination matching for inspection system
US8698327B2 (en) 2003-07-14 2014-04-15 Rudolph Technologies, Inc. Substrate handler
US6947588B2 (en) * 2003-07-14 2005-09-20 August Technology Corp. Edge normal process
US8045788B2 (en) * 2003-07-14 2011-10-25 August Technology Corp. Product setup sharing for multiple inspection systems
US7197178B2 (en) * 2003-07-14 2007-03-27 Rudolph Technologies, Inc. Photoresist edge bead removal measurement
US7316938B2 (en) * 2003-07-14 2008-01-08 Rudolph Technologies, Inc. Adjustable film frame aligner
US20050113964A1 (en) 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Sensor methods and systems for semiconductor handling
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US7206652B2 (en) * 2004-08-20 2007-04-17 International Business Machines Corporation Method and system for intelligent automated reticle management
US7142940B2 (en) * 2005-03-14 2006-11-28 Umci Ltd. Method of processing semiconductor wafer
US7784183B2 (en) 2005-06-09 2010-08-31 General Electric Company System and method for adjusting performance of manufacturing operations or steps
US8078919B2 (en) * 2005-06-14 2011-12-13 Hitachi Global Storage Technologies Netherlands B.V. Method, apparatus and program storage device for managing multiple step processes triggered by a signal
JP4541237B2 (en) * 2005-06-29 2010-09-08 リンテック株式会社 Semiconductor wafer processing tape winding body, semiconductor wafer processing tape sticking apparatus using the same, and semiconductor wafer processing apparatus
DE102005030586A1 (en) * 2005-06-30 2007-01-11 Advanced Micro Devices, Inc., Sunnyvale Method and system for advanced process control using measurement uncertainty as control input
US7465590B1 (en) 2005-06-30 2008-12-16 Nanometrics Incorporated Measurement of a sample using multiple models
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
EP1764580B1 (en) * 2005-09-14 2008-07-30 C.R.F. Società Consortile per Azioni Method and system for recognizing the sign of the velocity of a vehicle and for estimating the road slope
DE102005046972A1 (en) * 2005-09-30 2007-04-05 Advanced Micro Devices, Inc., Sunnyvale Method for progressive process control e.g. for fabrication of semiconductor components, requires obtaining measurement data from some processed substrates
US7195537B1 (en) * 2005-10-07 2007-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for detecting device-under-test dependency
US7657339B1 (en) * 2005-10-14 2010-02-02 GlobalFoundries, Inc. Product-related feedback for process control
US7565220B2 (en) * 2006-09-28 2009-07-21 Lam Research Corporation Targeted data collection architecture
US7814046B2 (en) * 2006-09-29 2010-10-12 Lam Research Corporation Dynamic component-tracking system and methods therefor
US7738986B2 (en) * 2006-10-09 2010-06-15 GlobalFoundries, Inc. Method and apparatus for compensating metrology data for site bias prior to filtering
US7534725B2 (en) * 2007-03-21 2009-05-19 Taiwan Semiconductor Manufacturing Company Advanced process control for semiconductor processing
US8028274B2 (en) 2007-06-27 2011-09-27 Microsoft Corporation Integrating loosely coupled tools using contracts and references
TWI380144B (en) * 2008-04-09 2012-12-21 Inotera Memories Inc Method of fuzzy control for semiconductor machine
CN101621016B (en) * 2008-07-02 2011-10-05 中芯国际集成电路制造(上海)有限公司 Method and system for detecting defects in manufacture of integrated circuit
US20100279435A1 (en) * 2009-04-30 2010-11-04 Applied Materials, Inc. Temperature control of chemical mechanical polishing
DE102014222508A1 (en) * 2014-11-04 2016-05-04 Wago Verwaltungsgesellschaft Mbh Module for a process plant and method for controlling a process plant
US9940235B2 (en) 2016-06-29 2018-04-10 Oracle International Corporation Method and system for valid memory module configuration and verification
JP7287987B2 (en) 2018-06-27 2023-06-06 アプライド マテリアルズ インコーポレイテッド Temperature control for chemical mechanical polishing
US11633833B2 (en) 2019-05-29 2023-04-25 Applied Materials, Inc. Use of steam for pre-heating of CMP components
US11628478B2 (en) 2019-05-29 2023-04-18 Applied Materials, Inc. Steam cleaning of CMP components
TW202110575A (en) 2019-05-29 2021-03-16 美商應用材料股份有限公司 Steam treatment stations for chemical mechanical polishing system
US11897079B2 (en) 2019-08-13 2024-02-13 Applied Materials, Inc. Low-temperature metal CMP for minimizing dishing and corrosion, and improving pad asperity
JP2023516871A (en) 2020-06-29 2023-04-21 アプライド マテリアルズ インコーポレイテッド Control of temperature and slurry flow rate in CMP
US11833637B2 (en) 2020-06-29 2023-12-05 Applied Materials, Inc. Control of steam generation for chemical mechanical polishing
US11577358B2 (en) 2020-06-30 2023-02-14 Applied Materials, Inc. Gas entrainment during jetting of fluid for temperature control in chemical mechanical polishing
KR20220156633A (en) 2020-06-30 2022-11-25 어플라이드 머티어리얼스, 인코포레이티드 Apparatus and method for CMP temperature control

Citations (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3229198A (en) * 1962-09-28 1966-01-11 Hugo L Libby Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample
US4368510A (en) * 1980-10-20 1983-01-11 Leeds & Northrup Company Automatic identification system for self tuning process controller
US4796194A (en) * 1986-08-20 1989-01-03 Atherton Robert W Real world modeling and control process
US4901218A (en) * 1987-08-12 1990-02-13 Renishaw Controls Limited Communications adaptor for automated factory system
US5089970A (en) * 1989-10-05 1992-02-18 Combustion Engineering, Inc. Integrated manufacturing system
US5108570A (en) * 1990-03-30 1992-04-28 Applied Materials, Inc. Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
US5283141A (en) * 1992-03-05 1994-02-01 National Semiconductor Photolithography control system and method using latent image measurements
US5295242A (en) * 1990-11-02 1994-03-15 Consilium, Inc. Apparatus and method for viewing relationships in a factory management system
US5398336A (en) * 1990-10-16 1995-03-14 Consilium, Inc. Object-oriented architecture for factory floor management
US5402367A (en) * 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
US5408405A (en) * 1993-09-20 1995-04-18 Texas Instruments Incorporated Multi-variable statistical process controller for discrete manufacturing
US5410473A (en) * 1992-01-07 1995-04-25 Fukuda Denshi Kabushiki Kaisha Method and apparatus for recording electrocardiogram information
US5485082A (en) * 1990-04-11 1996-01-16 Micro-Epsilon Messtechnik Gmbh & Co. Kg Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like
US5490097A (en) * 1993-03-22 1996-02-06 Fujitsu Limited System and method for modeling, analyzing and executing work process plans
US5495417A (en) * 1990-08-14 1996-02-27 Kabushiki Kaisha Toshiba System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line
US5497381A (en) * 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
US5497316A (en) * 1990-08-31 1996-03-05 Sci Systems, Inc. Process gas distribution system and method
US5503707A (en) * 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
US5511005A (en) * 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5599423A (en) * 1995-06-30 1997-02-04 Applied Materials, Inc. Apparatus and method for simulating and optimizing a chemical mechanical polishing system
US5602492A (en) * 1992-03-13 1997-02-11 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
US5603707A (en) * 1995-11-28 1997-02-18 The Procter & Gamble Company Absorbent article having a rewet barrier
US5617023A (en) * 1995-02-02 1997-04-01 Otis Elevator Company Industrial contactless position sensor
US5719796A (en) * 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US5719495A (en) * 1990-12-31 1998-02-17 Texas Instruments Incorporated Apparatus for semiconductor device fabrication diagnosis and prognosis
US5735055A (en) * 1996-04-23 1998-04-07 Aluminum Company Of America Method and apparatus for measuring the thickness of an article at a plurality of points
US5740429A (en) * 1995-07-07 1998-04-14 Advanced Micro Devices, Inc. E10 reporting tool
US5857258A (en) * 1992-03-13 1999-01-12 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate
US5859964A (en) * 1996-10-25 1999-01-12 Advanced Micro Devices, Inc. System and method for performing real time data acquisition, process modeling and fault detection of wafer fabrication processes
US5859975A (en) * 1993-12-15 1999-01-12 Hewlett-Packard, Co. Parallel processing computer system having shared coherent memory and interconnections utilizing separate undirectional request and response lines for direct communication or using crossbar switching device
US5859777A (en) * 1996-05-14 1999-01-12 Toshiba Kikai Kabushiki Kaisha Casting control support system for die casting machines
US5862054A (en) * 1997-02-20 1999-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Process monitoring system for real time statistical process control
US5863807A (en) * 1995-09-20 1999-01-26 Samsung Electronics Co., Ltd. Manufacturing method of a semiconductor integrated circuit
US5867389A (en) * 1995-11-29 1999-02-02 Dainippon Screen Mfg. Co., Ltd. Substrate processing management system with recipe copying functions
US5870306A (en) * 1996-06-13 1999-02-09 Mitsubishi Denki Kabushiki Kaisha Automatic programming method and device for multi-system machine tool
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US5883437A (en) * 1994-12-28 1999-03-16 Hitachi, Ltd. Method and apparatus for inspection and correction of wiring of electronic circuit and for manufacture thereof
US5889991A (en) * 1996-12-06 1999-03-30 International Business Machines Corp. Method and system for customizing a palette using any java class
US6012048A (en) * 1997-05-30 2000-01-04 Capital Security Systems, Inc. Automated banking system for dispensing money orders, wire transfer and bill payment
US6017771A (en) * 1998-04-27 2000-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for yield loss analysis by yield management system
US6037664A (en) * 1997-08-20 2000-03-14 Sematech Inc Dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6036349A (en) * 1995-07-27 2000-03-14 Health Designs, Inc. Method and apparatus for validation of model-based predictions
US6041270A (en) * 1997-12-05 2000-03-21 Advanced Micro Devices, Inc. Automatic recipe adjust and download based on process control window
US6041263A (en) * 1996-10-01 2000-03-21 Aspen Technology, Inc. Method and apparatus for simulating and optimizing a plant model
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6169931B1 (en) * 1998-07-29 2001-01-02 Southwest Research Institute Method and system for modeling, predicting and optimizing chemical mechanical polishing pad wear and extending pad life
US6172756B1 (en) * 1998-12-11 2001-01-09 Filmetrics, Inc. Rapid and accurate end point detection in a noisy environment
US6173240B1 (en) * 1998-11-02 2001-01-09 Ise Integrated Systems Engineering Ag Multidimensional uncertainty analysis
US6175777B1 (en) * 1997-04-17 2001-01-16 Samsung Electronics Co., Ltd. Method for transferring wafer cassettes after checking whether process equipment is in a suitable mode
US6178390B1 (en) * 1997-12-26 2001-01-23 Samsung Electronics Co., Ltd. Method for controlling thicknesses of layers formed by deposition equipment for fabricating semiconductor devices
US6181013B1 (en) * 1999-06-25 2001-01-30 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3Ge or Cu5Si for passivation of damascene copper structures and device manufactured thereby
US6185324B1 (en) * 1989-07-12 2001-02-06 Hitachi, Ltd. Semiconductor failure analysis system
US6183345B1 (en) * 1997-03-24 2001-02-06 Canon Kabushiki Kaisha Polishing apparatus and method
US6192291B1 (en) * 1998-01-14 2001-02-20 Samsung Electronics Co., Ltd. Method of controlling semiconductor fabricating equipment to process wafers of a single lot individually
US6191864B1 (en) * 1996-05-16 2001-02-20 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US6197604B1 (en) * 1998-10-01 2001-03-06 Advanced Micro Devices, Inc. Method for providing cooperative run-to-run control for multi-product and multi-process semiconductor fabrication
US6204165B1 (en) * 1999-06-24 2001-03-20 International Business Machines Corporation Practical air dielectric interconnections by post-processing standard CMOS wafers
US6211094B1 (en) * 1998-09-15 2001-04-03 Samsung Electronics Co., Ltd. Thickness control method in fabrication of thin-film layers in semiconductor devices
US6210983B1 (en) * 1998-10-21 2001-04-03 Texas Instruments Incorporated Method for analyzing probe yield sensitivities to IC design
US6212961B1 (en) * 1999-02-11 2001-04-10 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
US6214734B1 (en) * 1998-11-20 2001-04-10 Vlsi Technology, Inc. Method of using films having optimized optical properties for chemical mechanical polishing endpoint detection
US6217412B1 (en) * 1999-08-11 2001-04-17 Advanced Micro Devices, Inc. Method for characterizing polish pad lots to eliminate or reduce tool requalification after changing a polishing pad
US6219711B1 (en) * 1997-05-13 2001-04-17 Micron Electronics, Inc. Synchronous communication interface
US6222936B1 (en) * 1998-02-03 2001-04-24 Advanced Micro Devices, Inc. Apparatus and method for reducing defects in a semiconductor lithographic process
US6334807B1 (en) * 1999-04-30 2002-01-01 International Business Machines Corporation Chemical mechanical polishing in-situ end point system
US6336841B1 (en) * 2001-03-29 2002-01-08 Macronix International Co. Ltd. Method of CMP endpoint detection
US6339727B1 (en) * 1998-12-21 2002-01-15 Recot, Inc. Apparatus and method for controlling distribution of product in manufacturing process
US6340602B1 (en) * 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6345288B1 (en) * 1989-08-31 2002-02-05 Onename Corporation Computer-based communication system and method using metadata defining a control-structure
US6345315B1 (en) * 1997-08-13 2002-02-05 Sudhindra N. Mishra Method for platform and protocol independent communication between client-server pairs
US6346426B1 (en) * 2000-11-17 2002-02-12 Advanced Micro Devices, Inc. Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements
US6355559B1 (en) * 1999-11-18 2002-03-12 Texas Instruments Incorporated Passivation of inlaid metallization
US20020032499A1 (en) * 1999-04-13 2002-03-14 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6360184B1 (en) * 1996-03-28 2002-03-19 Bio-Analytics, Inc. D/B/A Biomedware, Inc. Method for measuring a degree of association for dimensionally referenced data
US6360133B1 (en) * 1999-06-17 2002-03-19 Advanced Micro Devices, Inc. Method and apparatus for automatic routing for reentrant process
US6363294B1 (en) * 1997-12-30 2002-03-26 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
US6366934B1 (en) * 1998-10-08 2002-04-02 International Business Machines Corporation Method and apparatus for querying structured documents using a database extender
US6368883B1 (en) * 1999-08-10 2002-04-09 Advanced Micro Devices, Inc. Method for identifying and controlling impact of ambient conditions on photolithography processes
US6368884B1 (en) * 2000-04-13 2002-04-09 Advanced Micro Devices, Inc. Die-based in-fab process monitoring and analysis system for semiconductor processing
US6368879B1 (en) * 1999-09-22 2002-04-09 Advanced Micro Devices, Inc. Process control with control signal derived from metrology of a repetitive critical dimension feature of a test structure on the work piece
US6381564B1 (en) * 1998-05-28 2002-04-30 Texas Instruments Incorporated Method and system for using response-surface methodologies to determine optimal tuning parameters for complex simulators
US6379980B1 (en) * 2000-07-26 2002-04-30 Advanced Micro Devices, Inc. Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination
US6503839B2 (en) * 1999-08-11 2003-01-07 Micron Technology, Inc. Endpoint stabilization for polishing process
US20030020928A1 (en) * 2000-07-08 2003-01-30 Ritzdorf Thomas L. Methods and apparatus for processing microelectronic workpieces using metrology
US20030020909A1 (en) * 2001-04-09 2003-01-30 Speedfam-Ipec Corporation Method and apparatus for optical endpoint calibration in CMP
US6515368B1 (en) * 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US6517413B1 (en) * 2000-10-25 2003-02-11 Taiwan Semiconductor Manufacturing Company Method for a copper CMP endpoint detection system
US6517414B1 (en) * 2000-03-10 2003-02-11 Appied Materials, Inc. Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6532555B1 (en) * 1999-10-29 2003-03-11 Advanced Micro Devices, Inc. Method and apparatus for integration of real-time tool data and in-line metrology for fault detection in an advanced process control (APC) framework
US6535783B1 (en) * 2001-03-05 2003-03-18 Advanced Micro Devices, Inc. Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework
US6537912B1 (en) * 2000-08-25 2003-03-25 Micron Technology Inc. Method of forming an encapsulated conductive pillar
US6540591B1 (en) * 2001-04-18 2003-04-01 Alexander J. Pasadyn Method and apparatus for post-polish thickness and uniformity control
US6541401B1 (en) * 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
US6678570B1 (en) * 2001-06-26 2004-01-13 Advanced Micro Devices, Inc. Method and apparatus for determining output characteristics using tool state data
US6708074B1 (en) * 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data

Family Cites Families (155)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3205485A (en) 1960-10-21 1965-09-07 Ti Group Services Ltd Screening vane electro-mechanical transducer
US4000458A (en) 1975-08-21 1976-12-28 Bell Telephone Laboratories, Incorporated Method for the noncontacting measurement of the electrical conductivity of a lamella
US4302721A (en) 1978-05-08 1981-11-24 Tencor Instruments Non-contacting resistivity instrument with structurally related conductance and distance measuring transducers
EP0162670B1 (en) 1984-05-19 1991-01-02 British Aerospace Public Limited Company Industrial processing and manufacturing systems
US4967381A (en) 1985-04-30 1990-10-30 Prometrix Corporation Process control interface system for managing measurement data
FR2589566A1 (en) 1985-11-06 1987-05-07 Cegedur METHOD FOR NON-CONTACT SCALE MEASUREMENT OF THE THICKNESS AND TEMPERATURE OF THIN METAL SHEETS USING FOUCAULT CURRENTS
US4750141A (en) 1985-11-26 1988-06-07 Ade Corporation Method and apparatus for separating fixture-induced error from measured object characteristics and for compensating the measured object characteristic with the error, and a bow/warp station implementing same
US5260868A (en) 1986-08-11 1993-11-09 Texas Instruments Incorporate Method for calendaring future events in real-time
US5345587A (en) 1988-09-14 1994-09-06 Digital Equipment Corporation Extensible entity management system including a dispatching kernel and modules which independently interpret and execute commands
US4938600A (en) 1989-02-09 1990-07-03 Interactive Video Systems, Inc. Method and apparatus for measuring registration between layers of a semiconductor wafer
JP2780814B2 (en) 1989-06-22 1998-07-30 株式会社日立製作所 production management system
US5236868A (en) 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
US5208765A (en) 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
CA2194855A1 (en) 1990-08-31 1992-03-01 Dennis A. Sierk Process gas distribution system and method
JPH06244261A (en) * 1990-12-31 1994-09-02 Texas Instr Inc <Ti> Sensor for controlling semiconductor device manufacturing process
US5226118A (en) 1991-01-29 1993-07-06 Prometrix Corporation Data analysis system and method for industrial process control systems
US5469361A (en) 1991-08-08 1995-11-21 The Board Of Regents Acting For And On Behalf Of The University Of Michigan Generic cell controlling method and apparatus for computer integrated manufacturing system
US5309221A (en) 1991-12-31 1994-05-03 Corning Incorporated Measurement of fiber diameters with high precision
US5525808A (en) 1992-01-23 1996-06-11 Nikon Corporaton Alignment method and alignment apparatus with a statistic calculation using a plurality of weighted coordinate positions
US5823853A (en) 1996-07-18 1998-10-20 Speedfam Corporation Apparatus for the in-process detection of workpieces with a monochromatic light source
US5586039A (en) 1993-03-29 1996-12-17 Texas Instruments Incorporated Computer-aided manufacturing support method and system for specifying relationships and dependencies between process type components
US5367624A (en) 1993-06-11 1994-11-22 Consilium, Inc. Interface for controlling transactions in a manufacturing execution system
US5642296A (en) 1993-07-29 1997-06-24 Texas Instruments Incorporated Method of diagnosing malfunctions in semiconductor manufacturing equipment
JP3039210B2 (en) 1993-08-03 2000-05-08 日本電気株式会社 Method for manufacturing semiconductor device
US5700180A (en) 1993-08-25 1997-12-23 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing
US5546312A (en) 1993-09-20 1996-08-13 Texas Instruments Incorporated Use of spatial models for simultaneous control of various non-uniformity metrics
DE69425100T2 (en) 1993-09-30 2001-03-15 Koninkl Philips Electronics Nv Dynamic neural network
US5375064A (en) 1993-12-02 1994-12-20 Hughes Aircraft Company Method and apparatus for moving a material removal tool with low tool accelerations
US5526293A (en) 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
US5420796A (en) * 1993-12-23 1995-05-30 Vlsi Technology, Inc. Method of inspecting planarity of wafer surface after etchback step in integrated circuit fabrication
JPH07201946A (en) 1993-12-28 1995-08-04 Hitachi Ltd Manufacture of semiconductor device and apparatus for manufacture the same, testing of the same and testing apparatus
KR950034499A (en) 1994-01-28 1995-12-28 제임스 조셉 드롱 Method and apparatus for monitoring the deposition rate of films during physical vapor deposition
US5664987A (en) 1994-01-31 1997-09-09 National Semiconductor Corporation Methods and apparatus for control of polishing pad conditioning for wafer planarization
US5666297A (en) 1994-05-13 1997-09-09 Aspen Technology, Inc. Plant simulation and optimization software apparatus and method using dual execution models
US5629216A (en) 1994-06-30 1997-05-13 Seh America, Inc. Method for producing semiconductor wafers with low light scattering anomalies
JP3402412B2 (en) 1994-09-20 2003-05-06 株式会社リコー Process simulation input data setting device
EP0706209A3 (en) 1994-10-06 1996-12-27 Applied Materials Inc Sheet resistance measurement
US5519605A (en) 1994-10-24 1996-05-21 Olin Corporation Model predictive control apparatus and method
DE4446966A1 (en) 1994-12-28 1996-07-04 Itt Ind Gmbh Deutsche Production control information system
US5646870A (en) 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
US5541510A (en) 1995-04-06 1996-07-30 Kaman Instrumentation Corporation Multi-Parameter eddy current measuring system with parameter compensation technical field
US5559428A (en) 1995-04-10 1996-09-24 International Business Machines Corporation In-situ monitoring of the change in thickness of films
US5696893A (en) 1995-06-07 1997-12-09 Xerox Corporation System for generically describing and scheduling operation of modular printing machine
US5764543A (en) 1995-06-16 1998-06-09 I2 Technologies, Inc. Extensible model network representation system for process planning
US5649169A (en) 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
US5665199A (en) 1995-06-23 1997-09-09 Advanced Micro Devices, Inc. Methodology for developing product-specific interlayer dielectric polish processes
US5828778A (en) 1995-07-13 1998-10-27 Matsushita Electric Industrial Co., Ltd. Method and apparatus for analyzing failure of semiconductor wafer
US5825913A (en) 1995-07-18 1998-10-20 Cognex Corporation System for finding the orientation of a wafer
US5716856A (en) 1995-08-22 1998-02-10 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing using predetermined sequences within runs
US5963881A (en) 1995-09-22 1999-10-05 Texas Instruments Incorporated Method and system for enhancing the identification of causes of variations in the performance of manufactured articles
JPH09129530A (en) 1995-09-25 1997-05-16 Texas Instr Inc <Ti> Process module control using site model and monitor wafer control
US5655951A (en) 1995-09-29 1997-08-12 Micron Technology, Inc. Method for selectively reconditioning a polishing pad used in chemical-mechanical planarization of semiconductor wafers
US5777901A (en) 1995-09-29 1998-07-07 Advanced Micro Devices, Inc. Method and system for automated die yield prediction in semiconductor manufacturing
US5761064A (en) 1995-10-06 1998-06-02 Advanced Micro Devices, Inc. Defect management system for productivity and yield improvement
US5654903A (en) 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
KR100200480B1 (en) 1995-12-21 1999-10-01 윤종용 Controlling method of semiconductor process using feedback
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US6094600A (en) 1996-02-06 2000-07-25 Fisher-Rosemount Systems, Inc. System and method for managing a transaction database of records of changes to field device configurations
EP0895279A4 (en) 1996-03-06 2006-04-19 Hitachi Ltd Manufacture of semiconductor device
US6542830B1 (en) 1996-03-19 2003-04-01 Hitachi, Ltd. Process control system
US6017143A (en) 1996-03-28 2000-01-25 Rosemount Inc. Device in a process system for detecting events
US5663797A (en) 1996-05-16 1997-09-02 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5823854A (en) 1996-05-28 1998-10-20 Industrial Technology Research Institute Chemical-mechanical polish (CMP) pad conditioner
US5960185A (en) 1996-06-24 1999-09-28 International Business Machines Corporation Method and apparatus for wafer disposition based on systematic error modeling
JPH10112493A (en) 1996-08-13 1998-04-28 Sony Corp Surface-reformed thin plate holder, face adjusting means, and direction adjusting means
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5844554A (en) 1996-09-17 1998-12-01 Bt Squared Technologies, Inc. Methods and systems for user interfaces and constraint handling configurations software
US5667424A (en) 1996-09-25 1997-09-16 Chartered Semiconductor Manufacturing Pte Ltd. New chemical mechanical planarization (CMP) end point detection apparatus
JPH10106917A (en) 1996-10-02 1998-04-24 Toshiba Corp Production system for manufacturing semiconductor device
TW364956B (en) 1996-10-21 1999-07-21 Nxp Bv Method and system for assessing a measurement procedure and measurement-induced uncertainties on a batchwise manufacturing process of discrete products
US6064759A (en) 1996-11-08 2000-05-16 Buckley; B. Shawn Computer aided inspection machine
US6078845A (en) * 1996-11-25 2000-06-20 Schlumberger Technologies, Inc. Apparatus for carrying semiconductor devices
JPH10173021A (en) 1996-12-12 1998-06-26 Mitsubishi Electric Corp Method and apparatus for analyzing manufacturing line
US5982920A (en) 1997-01-08 1999-11-09 Lockheed Martin Energy Research Corp. Oak Ridge National Laboratory Automated defect spatial signature analysis for semiconductor manufacturing process
US5808303A (en) 1997-01-29 1998-09-15 Art Aerospace Research Technologies Inc. Infrared screening and inspection system
US5978751A (en) 1997-02-25 1999-11-02 International Business Machines Corporation Variegated manufacturing process test method and apparatus
TW396308B (en) 1997-04-01 2000-07-01 Tumbleweed Software Corp Document delivery system
JP3393035B2 (en) 1997-05-06 2003-04-07 東京エレクトロン株式会社 Control device and semiconductor manufacturing device
US5910011A (en) 1997-05-12 1999-06-08 Applied Materials, Inc. Method and apparatus for monitoring processes using multiple parameters of a semiconductor wafer processing system
TW331650B (en) 1997-05-26 1998-05-11 Taiwan Semiconductor Mfg Co Ltd Integrated defect yield management system for semiconductor manufacturing
US6111634A (en) 1997-05-28 2000-08-29 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness using a multi-wavelength spectrometer during chemical-mechanical polishing
US6240330B1 (en) * 1997-05-28 2001-05-29 International Business Machines Corporation Method for feedforward corrections for off-specification conditions
US6108091A (en) 1997-05-28 2000-08-22 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness during chemical-mechanical polishing
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
JPH118170A (en) * 1997-06-13 1999-01-12 Canon Inc Semiconductor processing system and manufacture of device
US6148099A (en) 1997-07-03 2000-11-14 Neopath, Inc. Method and apparatus for incremental concurrent learning in automatic semiconductor wafer and liquid crystal display defect classification
US5923557A (en) 1997-08-01 1999-07-13 Hewlett-Packard Company Method and apparatus for providing a standard interface to process control devices that are adapted to differing field-bus protocols
US6161054A (en) 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
KR19990027324A (en) * 1997-09-29 1999-04-15 윤종용 Multi-chamber system with wafer recognition system and wafer processing method using the same
US5916016A (en) * 1997-10-23 1999-06-29 Vlsi Technology, Inc. Methods and apparatus for polishing wafers
US6097887A (en) 1997-10-27 2000-08-01 Kla-Tencor Corporation Software system and method for graphically building customized recipe flowcharts
US6148239A (en) 1997-12-12 2000-11-14 Advanced Micro Devices, Inc. Process control system using feed forward control threads based on material groups
KR100297371B1 (en) 1998-02-03 2001-10-25 윤종용 Method for integrally managing data of semiconductor process
US6455937B1 (en) 1998-03-20 2002-09-24 James A. Cunningham Arrangement and method for improved downward scaling of higher conductivity metal-based interconnects
US6263255B1 (en) 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
US6292708B1 (en) * 1998-06-11 2001-09-18 Speedfam-Ipec Corporation Distributed control system for a semiconductor wafer processing machine
US6230069B1 (en) * 1998-06-26 2001-05-08 Advanced Micro Devices, Inc. System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control
US6395152B1 (en) 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6127263A (en) 1998-07-10 2000-10-03 Applied Materials, Inc. Misalignment tolerant techniques for dual damascene fabrication
US6141660A (en) 1998-07-16 2000-10-31 International Business Machines Corporation Command line interface for creating business objects for accessing a hierarchical database
US6226792B1 (en) 1998-10-14 2001-05-01 Unisys Corporation Object management system supporting the use of application domain knowledge mapped to technology domain knowledge
JP3019079B1 (en) 1998-10-15 2000-03-13 日本電気株式会社 Chemical mechanical polishing equipment
US6324481B1 (en) 1998-10-21 2001-11-27 Texas Instruments Incorporated Method for the calculation of wafer probe yield limits from in-line defect monitor data
TW434103B (en) 1998-10-23 2001-05-16 Taiwan Semiconductor Mfg Chemical mechanical polishing device with terminal point detection functions
US6280289B1 (en) 1998-11-02 2001-08-28 Applied Materials, Inc. Method and apparatus for detecting an end-point in chemical mechanical polishing of metal layers
US6176992B1 (en) 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
JP3487774B2 (en) * 1998-11-19 2004-01-19 沖電気工業株式会社 Transport method in semiconductor device manufacturing process
JP2000183001A (en) 1998-12-10 2000-06-30 Okamoto Machine Tool Works Ltd Polish end-point detecting method for wafer and chemical-mechanical polishing device used for the same
JP2000183002A (en) 1998-12-10 2000-06-30 Okamoto Machine Tool Works Ltd Method and device for detecting wafer polish end-point
EP1141654B1 (en) 1998-12-18 2005-03-02 Micro-Epsilon Messtechnik GmbH & Co. KG Operating an eddy current sensor
JP3202710B2 (en) 1998-12-18 2001-08-27 エヌイーシービューテクノロジー株式会社 Dichroic prism for LCD projector
US6252412B1 (en) 1999-01-08 2001-06-26 Schlumberger Technologies, Inc. Method of detecting defects in patterned substrates
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
JP2000269286A (en) 1999-03-16 2000-09-29 Toshiba Microelectronics Corp Specifying method for defective point of semiconductor substrate
US6389491B1 (en) 1999-03-23 2002-05-14 Agilent Technologies, Inc. Test instrumentation I/O communication interface and method
US6253366B1 (en) 1999-03-31 2001-06-26 Unisys Corp. Method and system for generating a compact document type definition for data interchange among software tools
US6298470B1 (en) * 1999-04-15 2001-10-02 Micron Technology, Inc. Method for efficient manufacturing of integrated circuits
US6303395B1 (en) 1999-06-01 2001-10-16 Applied Materials, Inc. Semiconductor processing techniques
EP1065567A3 (en) 1999-06-29 2001-05-16 Applied Materials, Inc. Integrated critical dimension control
US6776692B1 (en) 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US20030213772A9 (en) 1999-07-09 2003-11-20 Mok Yeuk-Fai Edwin Integrated semiconductor substrate bevel cleaning apparatus and method
US6763130B1 (en) 1999-07-21 2004-07-13 Applied Materials, Inc. Real time defect source identification
US7069101B1 (en) 1999-07-29 2006-06-27 Applied Materials, Inc. Computer integrated manufacturing techniques
US6405096B1 (en) 1999-08-10 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for run-to-run controlling of overlay registration
US6276989B1 (en) 1999-08-11 2001-08-21 Advanced Micro Devices, Inc. Method and apparatus for controlling within-wafer uniformity in chemical mechanical polishing
US6439964B1 (en) 1999-10-12 2002-08-27 Applied Materials, Inc. Method of controlling a polishing machine
US6159075A (en) 1999-10-13 2000-12-12 Vlsi Technology, Inc. Method and system for in-situ optimization for semiconductor wafers in a chemical mechanical polishing process
US6284622B1 (en) 1999-10-25 2001-09-04 Advanced Micro Devices, Inc. Method for filling trenches
US6248602B1 (en) 1999-11-01 2001-06-19 Amd, Inc. Method and apparatus for automated rework within run-to-run control semiconductor manufacturing
IL133326A0 (en) 1999-12-06 2001-04-30 Nova Measuring Instr Ltd Method and system for endpoint detection
US6405144B1 (en) 2000-01-18 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for programmed latency for improving wafer-to-wafer uniformity
JP3506114B2 (en) 2000-01-25 2004-03-15 株式会社ニコン MONITOR DEVICE, POLISHING APPARATUS HAVING THE MONITOR DEVICE, AND POLISHING METHOD
US6584369B2 (en) 2000-02-02 2003-06-24 Texas Instruments Incorporated Method and system for dispatching semiconductor lots to manufacturing equipment for fabrication
US7059948B2 (en) 2000-12-22 2006-06-13 Applied Materials Articles for polishing semiconductor substrates
JP3979791B2 (en) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
TW436383B (en) 2000-03-16 2001-05-28 Taiwan Semiconductor Mfg The end-point detection method of CMP polishing using the principle of optical confocal feedback
US6290572B1 (en) 2000-03-23 2001-09-18 Micron Technology, Inc. Devices and methods for in-situ control of mechanical or chemical-mechanical planarization of microelectronic-device substrate assemblies
AU2001249724A1 (en) 2000-04-03 2001-10-15 Speed-Fam-Ipec Corporation System and method for predicting software models using material-centric process instrumentation
JP2001305108A (en) 2000-04-21 2001-10-31 Daido Steel Co Ltd Eddy current flaw detector
US6479902B1 (en) 2000-06-29 2002-11-12 Advanced Micro Devices, Inc. Semiconductor catalytic layer and atomic layer deposition thereof
US6435952B1 (en) 2000-06-30 2002-08-20 Lam Research Corporation Apparatus and method for qualifying a chemical mechanical planarization process
TW455976B (en) 2000-08-11 2001-09-21 Taiwan Semiconductor Mfg Endpoint detection method of chemical mechanical polishing process
US6625513B1 (en) 2000-08-15 2003-09-23 Applied Materials, Inc. Run-to-run control over semiconductor processing tool based upon mirror image target
US6307628B1 (en) 2000-08-18 2001-10-23 Taiwan Semiconductor Manufacturing Company, Ltd Method and apparatus for CMP end point detection using confocal optics
US6593737B2 (en) 2000-08-24 2003-07-15 Shell Oil Company Method for measuring the wall thickness of an electrically conductive object
JP2002093761A (en) 2000-09-19 2002-03-29 Sony Corp Polishing method, polishing system, plating method and plating system
KR100366630B1 (en) 2000-09-20 2003-01-09 삼성전자 주식회사 Method of controlling wafer polishing time using sample-skip algorithm and method of wafer polishing using the same
JP2002124496A (en) 2000-10-18 2002-04-26 Hitachi Ltd Method and equipment for detecting and measuring end point of polishing process, and method and equipment for manufacturing semiconductor device using the same for detecting and measuring end point of polishing process
US6304999B1 (en) 2000-10-23 2001-10-16 Advanced Micro Devices, Inc. Method and apparatus for embedded process control framework in tool systems
JP4858798B2 (en) 2001-05-15 2012-01-18 株式会社ニコン Polishing apparatus, polishing method, and semiconductor device manufacturing method using the polishing apparatus
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
US7082345B2 (en) 2001-06-19 2006-07-25 Applied Materials, Inc. Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7047099B2 (en) 2001-06-19 2006-05-16 Applied Materials Inc. Integrating tool, module, and fab level control
US6910947B2 (en) 2001-06-19 2005-06-28 Applied Materials, Inc. Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3229198A (en) * 1962-09-28 1966-01-11 Hugo L Libby Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample
US4368510A (en) * 1980-10-20 1983-01-11 Leeds & Northrup Company Automatic identification system for self tuning process controller
US4796194A (en) * 1986-08-20 1989-01-03 Atherton Robert W Real world modeling and control process
US4901218A (en) * 1987-08-12 1990-02-13 Renishaw Controls Limited Communications adaptor for automated factory system
US6185324B1 (en) * 1989-07-12 2001-02-06 Hitachi, Ltd. Semiconductor failure analysis system
US6345288B1 (en) * 1989-08-31 2002-02-05 Onename Corporation Computer-based communication system and method using metadata defining a control-structure
US5089970A (en) * 1989-10-05 1992-02-18 Combustion Engineering, Inc. Integrated manufacturing system
US5108570A (en) * 1990-03-30 1992-04-28 Applied Materials, Inc. Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
US5485082A (en) * 1990-04-11 1996-01-16 Micro-Epsilon Messtechnik Gmbh & Co. Kg Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like
US5495417A (en) * 1990-08-14 1996-02-27 Kabushiki Kaisha Toshiba System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line
US5497316A (en) * 1990-08-31 1996-03-05 Sci Systems, Inc. Process gas distribution system and method
US5508947A (en) * 1990-08-31 1996-04-16 Sci Systems, Inc. Process gas distribution system and method with automatic transducer zero calibration
US5398336A (en) * 1990-10-16 1995-03-14 Consilium, Inc. Object-oriented architecture for factory floor management
US5295242A (en) * 1990-11-02 1994-03-15 Consilium, Inc. Apparatus and method for viewing relationships in a factory management system
US5719495A (en) * 1990-12-31 1998-02-17 Texas Instruments Incorporated Apparatus for semiconductor device fabrication diagnosis and prognosis
US5410473A (en) * 1992-01-07 1995-04-25 Fukuda Denshi Kabushiki Kaisha Method and apparatus for recording electrocardiogram information
US5283141A (en) * 1992-03-05 1994-02-01 National Semiconductor Photolithography control system and method using latent image measurements
US5602492A (en) * 1992-03-13 1997-02-11 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
US5857258A (en) * 1992-03-13 1999-01-12 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate
US5490097A (en) * 1993-03-22 1996-02-06 Fujitsu Limited System and method for modeling, analyzing and executing work process plans
US5402367A (en) * 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
US5408405A (en) * 1993-09-20 1995-04-18 Texas Instruments Incorporated Multi-variable statistical process controller for discrete manufacturing
US5503707A (en) * 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
US5497381A (en) * 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
US5859975A (en) * 1993-12-15 1999-01-12 Hewlett-Packard, Co. Parallel processing computer system having shared coherent memory and interconnections utilizing separate undirectional request and response lines for direct communication or using crossbar switching device
US5511005A (en) * 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5883437A (en) * 1994-12-28 1999-03-16 Hitachi, Ltd. Method and apparatus for inspection and correction of wiring of electronic circuit and for manufacture thereof
US5617023A (en) * 1995-02-02 1997-04-01 Otis Elevator Company Industrial contactless position sensor
US5599423A (en) * 1995-06-30 1997-02-04 Applied Materials, Inc. Apparatus and method for simulating and optimizing a chemical mechanical polishing system
US5740429A (en) * 1995-07-07 1998-04-14 Advanced Micro Devices, Inc. E10 reporting tool
US6036349A (en) * 1995-07-27 2000-03-14 Health Designs, Inc. Method and apparatus for validation of model-based predictions
US5863807A (en) * 1995-09-20 1999-01-26 Samsung Electronics Co., Ltd. Manufacturing method of a semiconductor integrated circuit
US5603707A (en) * 1995-11-28 1997-02-18 The Procter & Gamble Company Absorbent article having a rewet barrier
US5867389A (en) * 1995-11-29 1999-02-02 Dainippon Screen Mfg. Co., Ltd. Substrate processing management system with recipe copying functions
US5719796A (en) * 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US6360184B1 (en) * 1996-03-28 2002-03-19 Bio-Analytics, Inc. D/B/A Biomedware, Inc. Method for measuring a degree of association for dimensionally referenced data
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US5735055A (en) * 1996-04-23 1998-04-07 Aluminum Company Of America Method and apparatus for measuring the thickness of an article at a plurality of points
US5859777A (en) * 1996-05-14 1999-01-12 Toshiba Kikai Kabushiki Kaisha Casting control support system for die casting machines
US6191864B1 (en) * 1996-05-16 2001-02-20 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5870306A (en) * 1996-06-13 1999-02-09 Mitsubishi Denki Kabushiki Kaisha Automatic programming method and device for multi-system machine tool
US6041263A (en) * 1996-10-01 2000-03-21 Aspen Technology, Inc. Method and apparatus for simulating and optimizing a plant model
US5859964A (en) * 1996-10-25 1999-01-12 Advanced Micro Devices, Inc. System and method for performing real time data acquisition, process modeling and fault detection of wafer fabrication processes
US5889991A (en) * 1996-12-06 1999-03-30 International Business Machines Corp. Method and system for customizing a palette using any java class
US5862054A (en) * 1997-02-20 1999-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Process monitoring system for real time statistical process control
US6183345B1 (en) * 1997-03-24 2001-02-06 Canon Kabushiki Kaisha Polishing apparatus and method
US6175777B1 (en) * 1997-04-17 2001-01-16 Samsung Electronics Co., Ltd. Method for transferring wafer cassettes after checking whether process equipment is in a suitable mode
US6219711B1 (en) * 1997-05-13 2001-04-17 Micron Electronics, Inc. Synchronous communication interface
US6012048A (en) * 1997-05-30 2000-01-04 Capital Security Systems, Inc. Automated banking system for dispensing money orders, wire transfer and bill payment
US6345315B1 (en) * 1997-08-13 2002-02-05 Sudhindra N. Mishra Method for platform and protocol independent communication between client-server pairs
US6037664A (en) * 1997-08-20 2000-03-14 Sematech Inc Dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6041270A (en) * 1997-12-05 2000-03-21 Advanced Micro Devices, Inc. Automatic recipe adjust and download based on process control window
US6178390B1 (en) * 1997-12-26 2001-01-23 Samsung Electronics Co., Ltd. Method for controlling thicknesses of layers formed by deposition equipment for fabricating semiconductor devices
US6363294B1 (en) * 1997-12-30 2002-03-26 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
US6192291B1 (en) * 1998-01-14 2001-02-20 Samsung Electronics Co., Ltd. Method of controlling semiconductor fabricating equipment to process wafers of a single lot individually
US6222936B1 (en) * 1998-02-03 2001-04-24 Advanced Micro Devices, Inc. Apparatus and method for reducing defects in a semiconductor lithographic process
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6017771A (en) * 1998-04-27 2000-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for yield loss analysis by yield management system
US6381564B1 (en) * 1998-05-28 2002-04-30 Texas Instruments Incorporated Method and system for using response-surface methodologies to determine optimal tuning parameters for complex simulators
US6169931B1 (en) * 1998-07-29 2001-01-02 Southwest Research Institute Method and system for modeling, predicting and optimizing chemical mechanical polishing pad wear and extending pad life
US6211094B1 (en) * 1998-09-15 2001-04-03 Samsung Electronics Co., Ltd. Thickness control method in fabrication of thin-film layers in semiconductor devices
US6197604B1 (en) * 1998-10-01 2001-03-06 Advanced Micro Devices, Inc. Method for providing cooperative run-to-run control for multi-product and multi-process semiconductor fabrication
US6366934B1 (en) * 1998-10-08 2002-04-02 International Business Machines Corporation Method and apparatus for querying structured documents using a database extender
US6210983B1 (en) * 1998-10-21 2001-04-03 Texas Instruments Incorporated Method for analyzing probe yield sensitivities to IC design
US6173240B1 (en) * 1998-11-02 2001-01-09 Ise Integrated Systems Engineering Ag Multidimensional uncertainty analysis
US6214734B1 (en) * 1998-11-20 2001-04-10 Vlsi Technology, Inc. Method of using films having optimized optical properties for chemical mechanical polishing endpoint detection
US6172756B1 (en) * 1998-12-11 2001-01-09 Filmetrics, Inc. Rapid and accurate end point detection in a noisy environment
US6339727B1 (en) * 1998-12-21 2002-01-15 Recot, Inc. Apparatus and method for controlling distribution of product in manufacturing process
US6212961B1 (en) * 1999-02-11 2001-04-10 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
US20020032499A1 (en) * 1999-04-13 2002-03-14 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6334807B1 (en) * 1999-04-30 2002-01-01 International Business Machines Corporation Chemical mechanical polishing in-situ end point system
US6529789B1 (en) * 1999-06-17 2003-03-04 Advanced Micro Devices, Inc. Method and apparatus for automatic routing for reentrant processes
US6360133B1 (en) * 1999-06-17 2002-03-19 Advanced Micro Devices, Inc. Method and apparatus for automatic routing for reentrant process
US6204165B1 (en) * 1999-06-24 2001-03-20 International Business Machines Corporation Practical air dielectric interconnections by post-processing standard CMOS wafers
US6181013B1 (en) * 1999-06-25 2001-01-30 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3Ge or Cu5Si for passivation of damascene copper structures and device manufactured thereby
US6368883B1 (en) * 1999-08-10 2002-04-09 Advanced Micro Devices, Inc. Method for identifying and controlling impact of ambient conditions on photolithography processes
US6217412B1 (en) * 1999-08-11 2001-04-17 Advanced Micro Devices, Inc. Method for characterizing polish pad lots to eliminate or reduce tool requalification after changing a polishing pad
US6503839B2 (en) * 1999-08-11 2003-01-07 Micron Technology, Inc. Endpoint stabilization for polishing process
US6368879B1 (en) * 1999-09-22 2002-04-09 Advanced Micro Devices, Inc. Process control with control signal derived from metrology of a repetitive critical dimension feature of a test structure on the work piece
US6532555B1 (en) * 1999-10-29 2003-03-11 Advanced Micro Devices, Inc. Method and apparatus for integration of real-time tool data and in-line metrology for fault detection in an advanced process control (APC) framework
US6355559B1 (en) * 1999-11-18 2002-03-12 Texas Instruments Incorporated Passivation of inlaid metallization
US6340602B1 (en) * 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6517414B1 (en) * 2000-03-10 2003-02-11 Appied Materials, Inc. Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus
US6368884B1 (en) * 2000-04-13 2002-04-09 Advanced Micro Devices, Inc. Die-based in-fab process monitoring and analysis system for semiconductor processing
US20030020928A1 (en) * 2000-07-08 2003-01-30 Ritzdorf Thomas L. Methods and apparatus for processing microelectronic workpieces using metrology
US6379980B1 (en) * 2000-07-26 2002-04-30 Advanced Micro Devices, Inc. Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination
US6541401B1 (en) * 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
US6708074B1 (en) * 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US6537912B1 (en) * 2000-08-25 2003-03-25 Micron Technology Inc. Method of forming an encapsulated conductive pillar
US6517413B1 (en) * 2000-10-25 2003-02-11 Taiwan Semiconductor Manufacturing Company Method for a copper CMP endpoint detection system
US6346426B1 (en) * 2000-11-17 2002-02-12 Advanced Micro Devices, Inc. Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements
US6535783B1 (en) * 2001-03-05 2003-03-18 Advanced Micro Devices, Inc. Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework
US6336841B1 (en) * 2001-03-29 2002-01-08 Macronix International Co. Ltd. Method of CMP endpoint detection
US20030020909A1 (en) * 2001-04-09 2003-01-30 Speedfam-Ipec Corporation Method and apparatus for optical endpoint calibration in CMP
US6540591B1 (en) * 2001-04-18 2003-04-01 Alexander J. Pasadyn Method and apparatus for post-polish thickness and uniformity control
US6678570B1 (en) * 2001-06-26 2004-01-13 Advanced Micro Devices, Inc. Method and apparatus for determining output characteristics using tool state data
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
US6515368B1 (en) * 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060274306A1 (en) * 2001-04-26 2006-12-07 Tokyo Electronic Limited Measurement system cluster
US7283226B2 (en) 2001-04-26 2007-10-16 Tokyo Electron Limited Measurement system cluster
US20020165636A1 (en) * 2001-05-04 2002-11-07 Hasan Talat Fatima Systems and methods for metrology recipe and model generation
US7254458B2 (en) 2001-05-04 2007-08-07 Tokyo Electron Limited Systems and methods for metrology recipe and model generation
US7089075B2 (en) * 2001-05-04 2006-08-08 Tokyo Electron Limited Systems and methods for metrology recipe and model generation
US20060247818A1 (en) * 2001-05-04 2006-11-02 Hasan Talat F Systems and methods for metrology recipe and model generation
US20040031135A1 (en) * 2001-07-31 2004-02-19 Keiji Imai Semiconductor manufacturing apparatus control system
US6996449B2 (en) * 2001-07-31 2006-02-07 Asahi Kasei Microsystems Co., Ltd. Semiconductor manufacturing apparatus control system
US7335518B2 (en) * 2003-09-09 2008-02-26 Seiko Instruments Inc. Method for manufacturing semiconductor device
US7192790B2 (en) * 2003-09-09 2007-03-20 Seiko Instruments Inc. Manufacturing method for a semiconductor device
US20050142673A1 (en) * 2003-09-09 2005-06-30 Kazutoshi Ishii Method for manufacturing semiconductor device
US20050124081A1 (en) * 2003-09-09 2005-06-09 Kazutoshi Ishii Manufacturing method for a semiconductor device
US20060025880A1 (en) * 2004-07-29 2006-02-02 International Business Machines Corporation Host control for a variety of tools in semiconductor fabs
US7783455B1 (en) * 2005-03-04 2010-08-24 Globalfoundries Inc. Methods and systems for analyzing process equipment processing variations using sensor data
US20090319074A1 (en) * 2008-06-23 2009-12-24 International Business Machines Corporation Method for compensating for tool processing variation in the routing of wafers/lots
US8369976B2 (en) * 2008-06-23 2013-02-05 International Business Machines Corporation Method for compensating for tool processing variation in the routing of wafers/lots
US20110102760A1 (en) * 2009-11-04 2011-05-05 International Business Machines Corporation Alignment method for semiconductor processing
US8514374B2 (en) * 2009-11-04 2013-08-20 International Business Machines Corporation Alignment method for semiconductor processing
CN111133530A (en) * 2017-06-23 2020-05-08 坎杜能源公司 Communication system and method for nuclear reactor equipment

Also Published As

Publication number Publication date
EP1111657A3 (en) 2005-09-07
TW546681B (en) 2003-08-11
KR20010062624A (en) 2001-07-07
KR100683453B1 (en) 2007-02-20
JP2001244161A (en) 2001-09-07
EP1111657A2 (en) 2001-06-27
US6640151B1 (en) 2003-10-28

Similar Documents

Publication Publication Date Title
US6640151B1 (en) Multi-tool control system, method and medium
US8005634B2 (en) Copper wiring module control
US7082345B2 (en) Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities
US7324855B2 (en) Process-state management system, management server and control server adapted for the system, method for managing process-states, method for manufacturing a product, and computer program product for the management server
US6197604B1 (en) Method for providing cooperative run-to-run control for multi-product and multi-process semiconductor fabrication
US7722436B2 (en) Run-to-run control of backside pressure for CMP radial uniformity optimization based on center-to-edge model
US8318238B2 (en) Film position adjusting method, memory medium and substrate processing system
KR20040054779A (en) Method and apparatus for utilizing integrated metrology data as feed-forward data
US20040236528A1 (en) Method, device, computer-readable storage medium and computer program element for monitoring of a manufacturing process
WO2004032224A1 (en) Method and apparatus for controlling a fabrication process based on a measured electrical characteristic
US6438441B1 (en) Semiconductor factory automation system and method for resetting process recipe by employing trace file
JPWO2008075404A1 (en) Semiconductor manufacturing system
US7097534B1 (en) Closed-loop control of a chemical mechanical polisher
US6909933B2 (en) Method, device, computer-readable memory and computer program element for the computer-aided monitoring and controlling of a manufacturing process
JP2994321B2 (en) Production management system for the manufacturing process
US7036673B2 (en) Lot management production method and product carrying container
US7031793B1 (en) Conflict resolution among multiple controllers
US6694210B1 (en) Process recipe modification in an integrated circuit fabrication apparatus
US7103439B1 (en) Method and apparatus for initializing tool controllers based on tool event data
GB2351363A (en) Semiconductor factory automation system and method for processing at least one semiconductor wafer cassette
US20070026545A1 (en) Methods and systems for controlling semiconductor device manufacturing processes
KR100510065B1 (en) Method for automatically operating overlay equipment used in manufacturing semiconductor
JP2000133577A (en) Aligner
US7333875B2 (en) Method of predicting CMP removal rate for CMP process in a CMP process tool in order to determine a required polishing time
Khan et al. Yield improvement at the contact process through run-to-run control

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION